SerialLite II IP Core User Guide

ID 683179
Date 7/13/2021
Public
Document Table of Contents

4.3.2.1. Value Change Dump (VCD) File Generation (For the Verilog HDL Testbench)

The simulation allows .vcd file generation if WAVEFORM is tick defined. All signals are included in the dump file (dumpfile.vcd)