Altera® Quartus® Prime Standard Edition Settings File Reference Manual

ID 683084
Date 5/08/2017
Public
Document Table of Contents

1.10.344. SYNCHRONIZER_IDENTIFICATION

Specifies how the TimeQuest Timing Analyzer identifies registers as being part of a synchronization register chain for metastability analysis. A synchronization register chain is a sequence of registers with the same clock with no fan-out in between, which is driven by a pin or logic from another clock domain. If this option is set to 'Off', the TimeQuest Timing Analyzer does not identify the specified registers, or the registers within the specified entity, as synchronization registers. If the option is set to 'Auto', the TimeQuest Timing Analyzer identifies valid synchronization registers that are part of a chain with more than one register that contains no combinational logic. If this option is set to 'Forced if Asynchronous', the TimeQuest Timing Analyzer identifies synchronization register chains if the software detects an asynchronous signal transfer, even if there is combinational logic or only one register in the chain. If this option is set to 'Forced', then the specified register, or all registers within the specified entity, are identified as synchronizers. The 'Forced' option should not be applied to the entire design, because doing so identifies all registers in the design as synchronizers. Registers that are identified as synchronizers are optimized for improved Mean Time Between Failure (MTBF) as long as the Optimize Design for Metastability option is turned on. If a synchronization register chain is identified with the 'Forced' or 'Forced if Asynchronous' option, then the TimeQuest Timing Analyzer reports the metastability MTBF for the chain. MTBF is not reported for automatically-detected register chains; you can use the 'Auto' setting to generate a report of possible synchronization chains in your design. If a synchronization register chain is identified with the 'Forced' or 'Forced if Asynchronous' option, then the TimeQuest Timing Analyzer reports the metastability MTBF for the chain when it meets the design timing requirements.

Old Name

ANALYZE_METASTABILITY

Type

Enumeration

Values

  • Auto
  • Forced
  • Forced If Asynchronous
  • Off

Device Support

  • Arria 10
  • Arria II GX
  • Arria II GZ
  • Arria V
  • Arria V GZ
  • Cyclone 10 LP
  • Cyclone III
  • Cyclone III LS
  • Cyclone IV E
  • Cyclone IV GX
  • Cyclone V
  • HardCopy III
  • HardCopy IV
  • MAX 10
  • Stratix III
  • Stratix IV
  • Stratix V

Notes

This assignment supports wildcards.

This assignment supports Fitter wildcards.

This assignment is included in the Fitter report.

Syntax


		set_global_assignment -name SYNCHRONIZER_IDENTIFICATION <value>
		set_global_assignment -name SYNCHRONIZER_IDENTIFICATION -entity <entity name> <value>
		set_instance_assignment -name SYNCHRONIZER_IDENTIFICATION -to <to> -entity <entity name> <value>
	

Default Value

Auto