Altera® Quartus® Prime Standard Edition Settings File Reference Manual

ID 683084
Date 5/08/2017
Public
Document Table of Contents

1.10.30. CLOCK_REGION

Specifies that a signal routed using global routing paths should use the specified clock region.\n\nValid values are clock region descriptions of the form \"Regional Clock Region 1\" or \"Periphery Clock Region 1\". The clock region names should match those displayed in the Chip Planner, and can include Global, Regional, Periphery or Spine Clock regions. For Arria 10 designs, one can also specify a comma separated list of assignments (e.g., \"Periphery Clock Region 0, Periphery Clock Region 1\"). If multiple regions are specified, the logic fed by the signal will be constrained to the smallest rectangular clock region that fully contains all of the regions specified. This assignment can also be used in conjunction with the \"Global Signal\" assignment to constrain the logic fed by a clock signal to an area of the chip that is smaller than the clock region specified by the Global Signal assignment. For example, a Global Signal assignment of \"Global Clock\" and a Clock Region assignment of \"Regional Clock Region 1\" constrains the logic to the area fed by Regional Clock Region 1.

Type

String

Device Support

  • Arria 10
  • Arria V
  • Arria V GZ
  • Cyclone V
  • Stratix V

Notes

This assignment supports wildcards.

This assignment supports Fitter wildcards.

Syntax


		set_instance_assignment -name CLOCK_REGION -to <to> -entity <entity name> <value>
		set_instance_assignment -name CLOCK_REGION -from <from> -to <to> -entity <entity name> <value>