Altera® Quartus® Prime Standard Edition Settings File Reference Manual

ID 683084
Date 5/08/2017
Public
Document Table of Contents

1.10.254. PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION

Specifies that the Fitter should perform physical synthesis optimizations on registers, specifically allowing register duplication, during fitting to increase circuit performance. This feature is not supported in Quartus Prime Pro Edition.

Type

Boolean

Device Support

  • Arria GX
  • Arria II GX
  • Arria II GZ
  • Arria V
  • Arria V GZ
  • Cyclone
  • Cyclone 10 LP
  • Cyclone II
  • Cyclone III
  • Cyclone III LS
  • Cyclone IV E
  • Cyclone IV GX
  • Cyclone V
  • HardCopy II
  • MAX 10
  • MAX II
  • MAX V
  • Stratix
  • Stratix GX
  • Stratix II
  • Stratix II GX
  • Stratix III
  • Stratix IV
  • Stratix V

Notes

This assignment supports Fitter wildcards.

This assignment is included in the Fitter report.

This assignment supports synthesis wildcards.

Syntax


		set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION <value>
		set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION -entity <entity name> <value>
		set_instance_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION -to <to> -entity <entity name> <value>
	

Default Value

Off