LVDS SERDES Transmitter / Receiver IP Cores User Guide

ID 683062
Date 12/15/2017
Public
Document Table of Contents

To constrain the input clock signal in the TimeQuest Timing Analyzer, follow these steps:

  1. Run full compilation for the LVDS design. Ensure that the timing analysis tool is set to TimeQuest Timing Analyzer.
  2. After full compilation completes, on the Tools menu, select TimeQuest Timing Analyzer to launch the TimeQuest analyzer window.
  3. In the Tasks list, under Diagnostic, click Report Unconstrained Paths to view the list of unconstrained paths and ports of the LVDS design.
  4. In the Report list, under Unconstrained Paths, click Clock Status Summary to view the clock that requires constraints. The default setting for all unconstrained clocks is 1 GHz. To constrain the clock signal, right-click the clock name and select Edit Clock Constraint.
  5. In the Create Clock dialog box, set the period and the clock rising and falling edge (duty cycle of the clock) constraint. Refer to Table 1 for timing constraints options and descriptions.
  6. Click Run.

Constraining the Synchronous Input Ports

Constrain the synchronous input signals for non-DPA mode SERDES to allow the TimeQuest Timing Analyzer to consider your board channel-to-channel skew in the RSKM report. Without these constraints, you need to subtract the board channel-to-channel skew from the RSKM value reported by the TimeQuest Timing Analyzer.

To constrain the synchronous input signals in the TimeQuest Timing Analyzer, follow these steps:

  1. Run full compilation for the LVDS design. Ensure that the timing analysis tool is set to TimeQuest Timing Analyzer.
  2. After full compilation completes, on the Tools menu, select TimeQuest Timing Analyzer to launch the TimeQuest analyzer window.
  3. In the Tasks list, under Diagnostic, double-click Report Unconstrained Paths to view the list of unconstrained paths and ports of the LVDS design.
  4. In the Report list, under Unconstrained Paths category, expand the Setup Analysis folder, and then click Unconstrained Input Ports.
  5. Set constraints for all the receiver synchronous input ports in the From list. To set input delay, perform the following steps:
    1. Right-click on the synchronous input port and select Set Input Delay.
    2. The Set Input Delay dialog box appears.
    3. Select the desired clock using the pull down menu. The clock name must reference the source synchronous clock that feeds the LVDS receiver.
    4. Set the appropriate values for Input Delay and Delay. Refer to Table 1 for timing constraints options and descriptions.
    5. Click Run to incorporate these values in the TimeQuest Timing Analyzer.
If no input delay is set in the TimeQuest Timing Analyzer, the receiver channel-to-channel skew (RCCS) defaults to zero.

Setting False Path for the Asynchronous Input and Output Ports

All asynchronous input and output ports are excluded from the timing analysis of the LVDS core because the signals on these ports are not synchronous to a IP core clock source. The internal structure of the LVDS IP core handles the metastability of these asynchronous signals. Therefore these asynchronous signals are set to false path.

To exclude asynchronous input and output ports from the timing analysis, perform the following steps:

  1. Run full compilation for the LVDS design. Ensure that the timing analysis tool is set to TimeQuest Timing Analyzer.
  2. After full compilation completes, on the Tools menu, select TimeQuest Timing Analyzer to launch the TimeQuest analyzer window.
  3. In the Tasks list, under Diagnostic, double-click Report Unconstrained Paths to view the list of unconstrained paths and ports of the LVDS design.
  4. In the Report list, under Unconstrained Paths category, expand the Setup Analysis folder.
  5. Click Unconstrained Input Port Paths to view the unconstrained input ports or click Unconstrained Output Port Paths to view the unconstrained output ports.
  6. Right-click on an ansynchronous input or output port, and select Set False Path.

After you specify all timing constraint settings for the clock signal, on the Constraints menu, click Write SDC File to write all the constraints to a specific .sdc. Then, run full compilation for the LVDS design again.