Triple-Speed Ethernet Intel® FPGA IP Design Example User Guide: Agilex™ 5 FPGAs and SoCs

ID 813899
Date 4/01/2024
Public

1.3. Simulating the Triple-Speed Ethernet Intel® FPGA IP Design Example Testbench

Figure 5. Procedure to Simulate Design Example Testbench
Follow these steps to simulate the testbench:
  1. Navigate to the sim directory:
    cd intel_eth_tse_0_example_design/ex_tse/sim/
  2. Run the IP setup simulation:
    ip-setup-simulation --quartus-project=../../compilation_test_design/intel_eth_tse.qpf
  3. Navigate to the testbench simulation directory:
    cd intel_eth_tse_0_example_design/example_testbench/
  4. Run the simulation script for the supported simulator of your choice. The script compiles and runs the testbench in the simulator. Refer to the table Steps to Simulate the Testbench.
    Table 4.  Steps to Simulate the Testbench
    Simulator Instructions
    QuestaSim* In the command line, type vsim -do run_vsim_2xtbi_pma.do. If you prefer to simulate without bringing up the QuestaSim* GUI, type vsim -c -do run_vsim_2xtbi_pma.do.
    Synopsys* VCS* / VCS* MX In the command line, type sh run_vcs_2xtbi_pma.sh or sh run_vcsmx_2xtbi_pma.sh.
    Xcelium* In the command line, type sh run_xcelium_2xtbi_pma.sh.
  5. Analyze the results. The successful testbench sends five packets, receives the same number of packets, and displays the following message:
End of Simulation - Break