Triple-Speed Ethernet Intel® FPGA IP Design Example User Guide: Agilex™ 5 FPGAs and SoCs

ID 813899
Date 4/01/2024
Public

2.4. Simulation

The simulation test case performs the following steps:
  1. Instantiates Triple-Speed Ethernet Intel® FPGA IP.
  2. Starts up the design example with an operating speed of 1G.
  3. Waits for RX clock and RX alignment to settle.
  4. Sends and receives 5 valid packets on 1G speed.
  5. Completes the simulation and displays End of Simulation.

When the testbench starts, it waits for rx_ready to go high. It then sends 5 packets to the TX Avalon® streaming interface and waits for those 5 packets to be received on the RX Avalon® streaming interface.