Triple-Speed Ethernet Intel® FPGA IP User Guide: Agilex™ 5 FPGAs and SoCs

ID 813669
Date 4/01/2024
Public
Document Table of Contents

9. Testbench

You can use the testbench provided with the IP to exercise your custom IP variation. The testbench includes the following features:
  • Easy-to-use simulation environment for any standard HDL simulator.
  • Simulation of all basic Ethernet packet transactions.
  • Open source Verilog HDL and VHDL testbench files.

The provided testbench applies only to custom IP variations created using Platform Designer.