Quartus® Prime Pro Edition User Guide: Getting Started

ID 683463
Date 4/01/2024
Public
Document Table of Contents

3.2.4.12. Updating Verilog HDL and VHDL Type Mapping

Quartus® Prime Pro Edition synthesis requires that you use 0 for "false" and 1 for "true" in Verilog HDL files (.v). Other Quartus software products map "true" and "false" strings in Verilog HDL to TRUE and FALSE Boolean values in VHDL. Quartus® Prime Pro Edition synthesis generates an error for detection of non-Verilog HDL constructs in .v files. To avoid syntax errors, ensure that your RTL accommodates these standards.