Intel® Quartus® Prime Standard Edition User Guide: Third-party Simulation

ID 683080
Date 2/05/2024
Public
Document Table of Contents

1.3.3.1. The Intel® Quartus® Prime Simulation Library Compiler

The Intel® Quartus® Prime Simulation Library Compiler is an Intel® Quartus® Prime software GUI and command-line tool that generates simulation scripts. You can use these scripts to automatically compile the Intel® Quartus® Prime software simulation libraries for a given simulator, device family, and hardware description language (Verilog HDL or VHDL).
Note: For Questa* Intel® FPGA Edition, do not use the Simulation Library Compiler to compile the libraries in Questa* Intel® FPGA Edition. Instead, you must use the Questa* Intel® FPGA Edition precompiled libraries included with this simulator.