Article ID: 000084895 Content Type: Product Information & Documentation Last Reviewed: 01/14/2013

How can I compile multiple .vqm files if they contain the same entity names?

Environment

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Description

If your Quartus® II project uses multiple Verilog Quartus Mapping (.vqm) files generated from a third-party synthesis tool such as Synplify, you may find that the same entity name may be used within each. If you compile these .vqm files in the Quartus II software, you may see the following warning due to namespace conflicts:

Critical Warning (12050): Ignored duplicate entity "<entity name>" in file <file 1>.vqm. Used entity in file <file 2>.vqm

To avoid these warnings, compile each .vqm file into a separate design library. See the section Design Libraries in the chapter Quartus II Integrated Synthesis (PDF) of the Quartus II Handbook for details on how to specify design libraries when adding .vqm files to your project.

Related Products

This article applies to 1 products

Intel® Programmable Devices