Article ID: 000084221 Content Type: Troubleshooting Last Reviewed: 10/17/2011

Generation of the ALTGXB megafunction can fail for Arria II GX

Environment

  • Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Critical Issue

    Description

    If you attempt to generate the ALTGXB megafunction from a command line using qmegawiz -silentgeneration fails with messages similar to the following:

    Error: The GXB PLL 'CMU' parameter 'input_clock_frequency' is set to an illegal value of '155.52 MHz'. The value is illegal when the device speed grade is '4', the parameter 'base_data_rate' is set to '2967.0 Mbps', and the parameter 'Pll Type' is set to 'CMU' on atom 'test_alt4gxb_t3t6:test_alt4gxb_t3t6_component|tx_pll_alt0'

    Error: The 'GXB PLL' parameter 'm' is set to an illegal value of '1'. The reference clock frequency to the PLL PFD (phase-frequency detector), which is input_clock_frequency ('155.52 MHz') \ n ('1'), must be the same as the feedback frequency to the PLL PFD, which is base_data_rate ('2967.0 Mbps') \ 2 \ m on atom 'test_alt4gxb_t3t6:test_alt4gxb_t3t6_component|tx_pll_alt0'

    Error: The 'GXB PLL' parameter 'n' is set to an illegal value of '1' on atom 'test_alt4gxb_t3t6:test_alt4gxb_t3t6_component|tx_pll_alt0'. The value of n is restricted based on the min and max reference clocks to the PLL PFD (phase-frequency detector) for the speed grade of 4 and the specific Pll Type of 'CMU'. For non-basic protocol configurations, the value of N is fixed.

    Resolution

    Use the MegaWizard Plug-In Manager GUI to configure and generate your ALTGXB megafunction.

    Related Products

    This article applies to 1 products

    Arria® II FPGAs