Article ID: 000076470 Content Type: Troubleshooting Last Reviewed: 08/27/2013

Why does my selected altpll clock output not change phase when I execute a phase step using the Dynamic Phase Stepping feature, even though the altpll output signal Phase Done pulses low in Stratix III and Cyclone III devices?

Environment

  • Quartus® II Subscription Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Description

    In Stratix® III and Cyclone® III devices, designs using the Dynamic Phase Stepping feature may see situations where the wrong output clock is phase adjusted if the phasecounterselect[] port is fed by a constant. This affects Quartus® II design software version 7.2 SP3 and prior.

    If this situation occurs, insert extra logic in front of the altpll phasecounterselect[] port or register the constant that feeds this port. In the latter case, you may need to use the preserve attribute to prevent the registers being synthesized away.

     

    Resolution

    This is resolved in the Quartus II software version 13.0.

    Related Products

    This article applies to 1 products

    Stratix® III FPGAs