Article ID: 000074878 Content Type: Product Information & Documentation Last Reviewed: 01/24/2023

How can I specify the configuration scheme using the quartus_pfg command line tool?

Environment

  • Intel® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Description

    You can specify the desired configuration scheme shown below when using the quartus_pfg command line tool to convert a programming file. 

    quartus_pfg -c -o mode=PS|FPP|ASX1|ASX4|AVSTX8|AVSTX16|AVSTX32|INTERNAL <input file> <output file>

     

     

    Resolution

    You can also define the settings using the GUI in the Intel® Quartus® Prime Pro Edition Software and save it as a Programming File Generator (PFG) setting file (.pfg). The following command gives an example of how to use the .pfg file.

    quartus_pfg -c <input_pfg_file>

    Related Products

    This article applies to 4 products

    Intel® Cyclone® 10 LP FPGA
    Intel Agilex® 7 FPGAs and SoC FPGAs
    Intel® MAX® 10 FPGAs
    Intel® Stratix® 10 FPGAs and SoC FPGAs