"Pin Information for the Intel® Stratix®10 1SM16C Device Version: 2020-10-28" Bank Number Index within I/O Bank VREF Pin Name/Function Optional Function(s) Configuration Function Dedicated Tx/Rx Channel Soft CDR Support GT support UF53 DQS for X4 DQS for X8/X9 DQS for X16/X18 DQS for X32/X36 1N REFCLK_GXBL1N_CHTp L43 1N REFCLK_GXBL1N_CHTn L42 1N GXBL1N_TX_CH5n D49 1N GXBL1N_TX_CH5p D48 1N "GXBL1N_RX_CH5n,GXBL1N_REFCLK5n" A46 1N "GXBL1N_RX_CH5p,GXBL1N_REFCLK5p" A47 1N GXBL1N_TX_CH4n Yes B50 1N GXBL1N_TX_CH4p Yes C50 1N "GXBL1N_RX_CH4n,GXBL1N_REFCLK4n" Yes A44 1N "GXBL1N_RX_CH4p,GXBL1N_REFCLK4p" Yes B44 1N GXBL1N_TX_CH3n Yes D51 1N GXBL1N_TX_CH3p Yes E51 1N "GXBL1N_RX_CH3n,GXBL1N_REFCLK3n" Yes D44 1N "GXBL1N_RX_CH3p,GXBL1N_REFCLK3p" Yes D45 1N GXBL1N_TX_CH2n B48 1N GXBL1N_TX_CH2p A48 1N "GXBL1N_RX_CH2n,GXBL1N_REFCLK2n" C46 1N "GXBL1N_RX_CH2p,GXBL1N_REFCLK2p" C47 1N GXBL1N_TX_CH1n Yes G50 1N GXBL1N_TX_CH1p Yes G51 1N "GXBL1N_RX_CH1n,GXBL1N_REFCLK1n" Yes F44 1N "GXBL1N_RX_CH1p,GXBL1N_REFCLK1p" Yes F45 1N GXBL1N_TX_CH0n Yes J50 1N GXBL1N_TX_CH0p Yes J51 1N "GXBL1N_RX_CH0n,GXBL1N_REFCLK0n" Yes H44 1N "GXBL1N_RX_CH0p,GXBL1N_REFCLK0p" Yes H45 1N REFCLK_GXBL1N_CHBp N43 1N REFCLK_GXBL1N_CHBn N42 1M REFCLK_GXBL1M_CHTp R43 1M REFCLK_GXBL1M_CHTn R42 1M GXBL1M_TX_CH5n F48 1M GXBL1M_TX_CH5p F49 1M "GXBL1M_RX_CH5n,GXBL1M_REFCLK5n" E46 1M "GXBL1M_RX_CH5p,GXBL1M_REFCLK5p" E47 1M GXBL1M_TX_CH4n Yes H48 1M GXBL1M_TX_CH4p Yes H49 1M "GXBL1M_RX_CH4n,GXBL1M_REFCLK4n" Yes G46 1M "GXBL1M_RX_CH4p,GXBL1M_REFCLK4p" Yes G47 1M GXBL1M_TX_CH3n Yes K48 1M GXBL1M_TX_CH3p Yes K49 1M "GXBL1M_RX_CH3n,GXBL1M_REFCLK3n" Yes K44 1M "GXBL1M_RX_CH3p,GXBL1M_REFCLK3p" Yes K45 1M GXBL1M_TX_CH2n L50 1M GXBL1M_TX_CH2p L51 1M "GXBL1M_RX_CH2n,GXBL1M_REFCLK2n" J46 1M "GXBL1M_RX_CH2p,GXBL1M_REFCLK2p" J47 1M GXBL1M_TX_CH1n Yes M48 1M GXBL1M_TX_CH1p Yes M49 1M "GXBL1M_RX_CH1n,GXBL1M_REFCLK1n" Yes M44 1M "GXBL1M_RX_CH1p,GXBL1M_REFCLK1p" Yes M45 1M GXBL1M_TX_CH0n Yes N50 1M GXBL1M_TX_CH0p Yes N51 1M "GXBL1M_RX_CH0n,GXBL1M_REFCLK0n" Yes L46 1M "GXBL1M_RX_CH0p,GXBL1M_REFCLK0p" Yes L47 1M REFCLK_GXBL1M_CHBp U43 1M REFCLK_GXBL1M_CHBn U42 1L REFCLK_GXBL1L_CHTp W43 1L REFCLK_GXBL1L_CHTn W42 1L GXBL1L_TX_CH5n P48 1L GXBL1L_TX_CH5p P49 1L "GXBL1L_RX_CH5n,GXBL1L_REFCLK5n" P44 1L "GXBL1L_RX_CH5p,GXBL1L_REFCLK5p" P45 1L GXBL1L_TX_CH4n Yes R50 1L GXBL1L_TX_CH4p Yes R51 1L "GXBL1L_RX_CH4n,GXBL1L_REFCLK4n" Yes N46 1L "GXBL1L_RX_CH4p,GXBL1L_REFCLK4p" Yes N47 1L GXBL1L_TX_CH3n Yes T48 1L GXBL1L_TX_CH3p Yes T49 1L "GXBL1L_RX_CH3n,GXBL1L_REFCLK3n" Yes T44 1L "GXBL1L_RX_CH3p,GXBL1L_REFCLK3p" Yes T45 1L GXBL1L_TX_CH2n U50 1L GXBL1L_TX_CH2p U51 1L "GXBL1L_RX_CH2n,GXBL1L_REFCLK2n" R46 1L "GXBL1L_RX_CH2p,GXBL1L_REFCLK2p" R47 1L GXBL1L_TX_CH1n Yes V48 1L GXBL1L_TX_CH1p Yes V49 1L "GXBL1L_RX_CH1n,GXBL1L_REFCLK1n" Yes V44 1L "GXBL1L_RX_CH1p,GXBL1L_REFCLK1p" Yes V45 1L GXBL1L_TX_CH0n Yes W50 1L GXBL1L_TX_CH0p Yes W51 1L "GXBL1L_RX_CH0n,GXBL1L_REFCLK0n" Yes U46 1L "GXBL1L_RX_CH0p,GXBL1L_REFCLK0p" Yes U47 1L REFCLK_GXBL1L_CHBp AA43 1L REFCLK_GXBL1L_CHBn AA42 1K REFCLK_GXBL1K_CHTp AC43 1K REFCLK_GXBL1K_CHTn AC42 1K GXBL1K_TX_CH5n Y48 1K GXBL1K_TX_CH5p Y49 1K "GXBL1K_RX_CH5n,GXBL1K_REFCLK5n" Y44 1K "GXBL1K_RX_CH5p,GXBL1K_REFCLK5p" Y45 1K GXBL1K_TX_CH4n Yes AA50 1K GXBL1K_TX_CH4p Yes AA51 1K "GXBL1K_RX_CH4n,GXBL1K_REFCLK4n" Yes W46 1K "GXBL1K_RX_CH4p,GXBL1K_REFCLK4p" Yes W47 1K GXBL1K_TX_CH3n Yes AB48 1K GXBL1K_TX_CH3p Yes AB49 1K "GXBL1K_RX_CH3n,GXBL1K_REFCLK3n" Yes AB44 1K "GXBL1K_RX_CH3p,GXBL1K_REFCLK3p" Yes AB45 1K GXBL1K_TX_CH2n AC50 1K GXBL1K_TX_CH2p AC51 1K "GXBL1K_RX_CH2n,GXBL1K_REFCLK2n" AA46 1K "GXBL1K_RX_CH2p,GXBL1K_REFCLK2p" AA47 1K GXBL1K_TX_CH1n Yes AD48 1K GXBL1K_TX_CH1p Yes AD49 1K "GXBL1K_RX_CH1n,GXBL1K_REFCLK1n" Yes AD44 1K "GXBL1K_RX_CH1p,GXBL1K_REFCLK1p" Yes AD45 1K GXBL1K_TX_CH0n Yes AE50 1K GXBL1K_TX_CH0p Yes AE51 1K "GXBL1K_RX_CH0n,GXBL1K_REFCLK0n" Yes AC46 1K "GXBL1K_RX_CH0p,GXBL1K_REFCLK0p" Yes AC47 1K REFCLK_GXBL1K_CHBp AE43 1K REFCLK_GXBL1K_CHBn AE42 1F REFCLK_GXBL1F_CHTp AG43 1F REFCLK_GXBL1F_CHTn AG42 1F GXBL1F_TX_CH5n AF48 1F GXBL1F_TX_CH5p AF49 1F "GXBL1F_RX_CH5n,GXBL1F_REFCLK5n" AE46 1F "GXBL1F_RX_CH5p,GXBL1F_REFCLK5p" AE47 1F GXBL1F_TX_CH4n Yes AG50 1F GXBL1F_TX_CH4p Yes AG51 1F "GXBL1F_RX_CH4n,GXBL1F_REFCLK4n" Yes AF44 1F "GXBL1F_RX_CH4p,GXBL1F_REFCLK4p" Yes AF45 1F GXBL1F_TX_CH3n Yes AJ50 1F GXBL1F_TX_CH3p Yes AJ51 1F "GXBL1F_RX_CH3n,GXBL1F_REFCLK3n" Yes AH44 1F "GXBL1F_RX_CH3p,GXBL1F_REFCLK3p" Yes AH45 1F GXBL1F_TX_CH2n AH48 1F GXBL1F_TX_CH2p AH49 1F "GXBL1F_RX_CH2n,GXBL1F_REFCLK2n" AG46 1F "GXBL1F_RX_CH2p,GXBL1F_REFCLK2p" AG47 1F GXBL1F_TX_CH1n Yes AL50 1F GXBL1F_TX_CH1p Yes AL51 1F "GXBL1F_RX_CH1n,GXBL1F_REFCLK1n" Yes AK44 1F "GXBL1F_RX_CH1p,GXBL1F_REFCLK1p" Yes AK45 1F GXBL1F_TX_CH0n Yes AN50 1F GXBL1F_TX_CH0p Yes AN51 1F "GXBL1F_RX_CH0n,GXBL1F_REFCLK0n" Yes AM44 1F "GXBL1F_RX_CH0p,GXBL1F_REFCLK0p" Yes AM45 1F REFCLK_GXBL1F_CHBp AJ43 1F REFCLK_GXBL1F_CHBn AJ42 1E REFCLK_GXBL1E_CHTp AL43 1E REFCLK_GXBL1E_CHTn AL42 1E GXBL1E_TX_CH5n AK48 1E GXBL1E_TX_CH5p AK49 1E "GXBL1E_RX_CH5n,GXBL1E_REFCLK5n" AJ46 1E "GXBL1E_RX_CH5p,GXBL1E_REFCLK5p" AJ47 1E GXBL1E_TX_CH4n Yes AM48 1E GXBL1E_TX_CH4p Yes AM49 1E "GXBL1E_RX_CH4n,GXBL1E_REFCLK4n" Yes AL46 1E "GXBL1E_RX_CH4p,GXBL1E_REFCLK4p" Yes AL47 1E GXBL1E_TX_CH3n Yes AR50 1E GXBL1E_TX_CH3p Yes AR51 1E "GXBL1E_RX_CH3n,GXBL1E_REFCLK3n" Yes AP44 1E "GXBL1E_RX_CH3p,GXBL1E_REFCLK3p" Yes AP45 1E GXBL1E_TX_CH2n AT48 1E GXBL1E_TX_CH2p AT49 1E "GXBL1E_RX_CH2n,GXBL1E_REFCLK2n" AR46 1E "GXBL1E_RX_CH2p,GXBL1E_REFCLK2p" AR47 1E GXBL1E_TX_CH1n Yes AU50 1E GXBL1E_TX_CH1p Yes AU51 1E "GXBL1E_RX_CH1n,GXBL1E_REFCLK1n" Yes AT44 1E "GXBL1E_RX_CH1p,GXBL1E_REFCLK1p" Yes AT45 1E GXBL1E_TX_CH0n Yes AV48 1E GXBL1E_TX_CH0p Yes AV49 1E "GXBL1E_RX_CH0n,GXBL1E_REFCLK0n" Yes AU46 1E "GXBL1E_RX_CH0p,GXBL1E_REFCLK0p" Yes AU47 1E REFCLK_GXBL1E_CHBp AN43 1E REFCLK_GXBL1E_CHBn AN42 1D REFCLK_GXBL1D_CHTp AR43 1D REFCLK_GXBL1D_CHTn AR42 1D GXBL1D_TX_CH5n AW50 1D GXBL1D_TX_CH5p AW51 1D "GXBL1D_RX_CH5n,GXBL1D_REFCLK5n" AV44 1D "GXBL1D_RX_CH5p,GXBL1D_REFCLK5p" AV45 1D GXBL1D_TX_CH4n Yes AY48 1D GXBL1D_TX_CH4p Yes AY49 1D "GXBL1D_RX_CH4n,GXBL1D_REFCLK4n" Yes AW46 1D "GXBL1D_RX_CH4p,GXBL1D_REFCLK4p" Yes AW47 1D GXBL1D_TX_CH3n Yes BA50 1D GXBL1D_TX_CH3p Yes BA51 1D "GXBL1D_RX_CH3n,GXBL1D_REFCLK3n" Yes AY44 1D "GXBL1D_RX_CH3p,GXBL1D_REFCLK3p" Yes AY45 1D GXBL1D_TX_CH2n BB48 1D GXBL1D_TX_CH2p BB49 1D "GXBL1D_RX_CH2n,GXBL1D_REFCLK2n" BA46 1D "GXBL1D_RX_CH2p,GXBL1D_REFCLK2p" BA47 1D GXBL1D_TX_CH1n Yes BC50 1D GXBL1D_TX_CH1p Yes BC51 1D "GXBL1D_RX_CH1n,GXBL1D_REFCLK1n" Yes BC46 1D "GXBL1D_RX_CH1p,GXBL1D_REFCLK1p" Yes BC47 1D GXBL1D_TX_CH0n Yes BD48 1D GXBL1D_TX_CH0p Yes BD49 1D "GXBL1D_RX_CH0n,GXBL1D_REFCLK0n" Yes BB44 1D "GXBL1D_RX_CH0p,GXBL1D_REFCLK0p" Yes BB45 1D REFCLK_GXBL1D_CHBp AU43 1D REFCLK_GXBL1D_CHBn AU42 1C REFCLK_GXBL1C_CHTp AW43 1C REFCLK_GXBL1C_CHTn AW42 1C GXBL1C_TX_CH5n BE50 1C GXBL1C_TX_CH5p BE51 1C "GXBL1C_RX_CH5n,GXBL1C_REFCLK5n" BD44 1C "GXBL1C_RX_CH5p,GXBL1C_REFCLK5p" BD45 1C GXBL1C_TX_CH4n Yes BF48 1C GXBL1C_TX_CH4p Yes BF49 1C "GXBL1C_RX_CH4n,GXBL1C_REFCLK4n" Yes BE46 1C "GXBL1C_RX_CH4p,GXBL1C_REFCLK4p" Yes BE47 1C GXBL1C_TX_CH3n Yes BG50 1C GXBL1C_TX_CH3p Yes BG51 1C "GXBL1C_RX_CH3n,GXBL1C_REFCLK3n" Yes BF44 1C "GXBL1C_RX_CH3p,GXBL1C_REFCLK3p" Yes BF45 1C GXBL1C_TX_CH2n BH48 1C GXBL1C_TX_CH2p BH49 1C "GXBL1C_RX_CH2n,GXBL1C_REFCLK2n" BG46 1C "GXBL1C_RX_CH2p,GXBL1C_REFCLK2p" BG47 1C GXBL1C_TX_CH1n Yes BK48 1C GXBL1C_TX_CH1p Yes BK49 1C "GXBL1C_RX_CH1n,GXBL1C_REFCLK1n" Yes BJ46 1C "GXBL1C_RX_CH1p,GXBL1C_REFCLK1p" Yes BJ47 1C GXBL1C_TX_CH0n Yes BL46 1C GXBL1C_TX_CH0p Yes BL47 1C "GXBL1C_RX_CH0n,GXBL1C_REFCLK0n" Yes BH44 1C "GXBL1C_RX_CH0p,GXBL1C_REFCLK0p" Yes BH45 1C REFCLK_GXBL1C_CHBp BA43 1C REFCLK_GXBL1C_CHBn BA42 2N 47 VREFB2NN0 IO LVDS2N_1n No C40 DQ0 DQ0 DQ0 DQ0 2N 46 VREFB2NN0 IO LVDS2N_1p No C41 DQ0 DQ0 DQ0 DQ0 2N 45 VREFB2NN0 IO LVDS2N_2n Yes B42 DQSn0 DQ0 DQ0 DQ0 2N 44 VREFB2NN0 IO LVDS2N_2p Yes C42 DQS0 DQ0 DQ0 DQ0 2N 43 VREFB2NN0 IO LVDS2N_3n No D41 DQ0 DQ0 DQ0 DQ0 2N 42 VREFB2NN0 IO LVDS2N_3p No D42 DQ0 DQ0 DQ0 DQ0 2N 41 VREFB2NN0 IO LVDS2N_4n Yes E40 DQSn1 DQSn0/CQn0 DQ0 DQ0 2N 40 VREFB2NN0 IO LVDS2N_4p Yes E41 DQS1 DQS0/CQ0 DQ0 DQ0 2N 39 VREFB2NN0 IO LVDS2N_5n No F40 DQ1 DQ0 DQ0 DQ0 2N 38 VREFB2NN0 IO LVDS2N_5p No E39 DQ1 DQ0 DQ0 DQ0 2N 37 VREFB2NN0 IO LVDS2N_6n Yes F39 DQ1 DQ0 DQ0 DQ0 2N 36 VREFB2NN0 IO LVDS2N_6p Yes F38 DQ1 DQ0 DQ0 DQ0 2N 35 VREFB2NN0 IO LVDS2N_7n No K37 DQ2 DQ1 DQ0 DQ0 2N 34 VREFB2NN0 IO LVDS2N_7p No J37 DQ2 DQ1 DQ0 DQ0 2N 33 VREFB2NN0 IO LVDS2N_8n Yes G38 DQSn2 DQ1 DQSn0/CQn0 DQ0 2N 32 VREFB2NN0 IO LVDS2N_8p Yes H39 DQS2 DQ1 DQS0/CQ0 DQ0 2N 31 VREFB2NN0 IO LVDS2N_9n No M37 DQ2 DQ1 DQ0 DQ0 2N 30 VREFB2NN0 IO LVDS2N_9p No L37 DQ2 DQ1 DQ0 DQ0 2N 29 VREFB2NN0 IO PLL_2N_CLKOUT1n LVDS2N_10n Yes J38 DQSn3 DQSn1/CQn1 DQ0 DQ0 2N 28 VREFB2NN0 IO "PLL_2N_CLKOUT1p,PLL_2N_CLKOUT1,PLL_2N_FB1" LVDS2N_10p Yes H38 DQS3 DQS1/CQ1 DQ0 DQ0 2N 27 VREFB2NN0 IO LVDS2N_11n No P37 DQ3 DQ1 DQ0 DQ0 2N 26 VREFB2NN0 IO RZQ_2N LVDS2N_11p No R37 DQ3 DQ1 DQ0 DQ0 2N 25 VREFB2NN0 IO CLK_2N_1n LVDS2N_12n Yes N38 DQ3 DQ1 DQ0 DQ0 2N 24 VREFB2NN0 IO CLK_2N_1p LVDS2N_12p Yes P38 DQ3 DQ1 DQ0 DQ0 2N 23 VREFB2NN0 IO CLK_2N_0n LVDS2N_13n No J39 DQ4 DQ2 DQ1 DQ0 2N 22 VREFB2NN0 IO CLK_2N_0p LVDS2N_13p No K39 DQ4 DQ2 DQ1 DQ0 2N 21 VREFB2NN0 IO LVDS2N_14n Yes L39 DQSn4 DQ2 DQ1 DQSn0/CQn0 2N 20 VREFB2NN0 IO LVDS2N_14p Yes L38 DQS4 DQ2 DQ1 DQS0/CQ0 2N 19 VREFB2NN0 IO PLL_2N_CLKOUT0n LVDS2N_15n No G40 DQ4 DQ2 DQ1 DQ0 2N 18 VREFB2NN0 IO "PLL_2N_CLKOUT0p,PLL_2N_CLKOUT0,PLL_2N_FB0" LVDS2N_15p No H40 DQ4 DQ2 DQ1 DQ0 2N 17 VREFB2NN0 IO LVDS2N_16n Yes F42 DQSn5 DQSn2/CQn2 DQ1 DQ0 2N 16 VREFB2NN0 IO LVDS2N_16p Yes E42 DQS5 DQS2/CQ2 DQ1 DQ0 2N 15 VREFB2NN0 IO LVDS2N_17n No G41 DQ5 DQ2 DQ1 DQ0 2N 14 VREFB2NN0 IO LVDS2N_17p No H41 DQ5 DQ2 DQ1 DQ0 2N 13 VREFB2NN0 IO LVDS2N_18n Yes H42 DQ5 DQ2 DQ1 DQ0 2N 12 VREFB2NN0 IO LVDS2N_18p Yes G42 DQ5 DQ2 DQ1 DQ0 2N 11 VREFB2NN0 IO LVDS2N_19n No M38 DQ6 DQ3 DQ1 DQ0 2N 10 VREFB2NN0 IO LVDS2N_19p No N39 DQ6 DQ3 DQ1 DQ0 2N 9 VREFB2NN0 IO LVDS2N_20n Yes P39 DQSn6 DQ3 DQSn1/CQn1 DQ0 2N 8 VREFB2NN0 IO LVDS2N_20p Yes R39 DQS6 DQ3 DQS1/CQ1 DQ0 2N 7 VREFB2NN0 IO LVDS2N_21n No T38 DQ6 DQ3 DQ1 DQ0 2N 6 VREFB2NN0 IO LVDS2N_21p No T39 DQ6 DQ3 DQ1 DQ0 2N 5 VREFB2NN0 IO LVDS2N_22n Yes T37 DQSn7 DQSn3/CQn3 DQ1 DQ0 2N 4 VREFB2NN0 IO LVDS2N_22p Yes U37 DQS7 DQS3/CQ3 DQ1 DQ0 2N 3 VREFB2NN0 IO LVDS2N_23n No W39 DQ7 DQ3 DQ1 DQ0 2N 2 VREFB2NN0 IO LVDS2N_23p No V39 DQ7 DQ3 DQ1 DQ0 2N 1 VREFB2NN0 IO LVDS2N_24n Yes U38 DQ7 DQ3 DQ1 DQ0 2N 0 VREFB2NN0 IO LVDS2N_24p Yes V38 DQ7 DQ3 DQ1 DQ0 2M 47 VREFB2MN0 IO LVDS2M_1n No T34 DQ8 DQ4 DQ2 DQ1 2M 46 VREFB2MN0 IO LVDS2M_1p No R34 DQ8 DQ4 DQ2 DQ1 2M 45 VREFB2MN0 IO LVDS2M_2n Yes T35 DQSn8 DQ4 DQ2 DQ1 2M 44 VREFB2MN0 IO LVDS2M_2p Yes R35 DQS8 DQ4 DQ2 DQ1 2M 43 VREFB2MN0 IO LVDS2M_3n No P36 DQ8 DQ4 DQ2 DQ1 2M 42 VREFB2MN0 IO LVDS2M_3p No R36 DQ8 DQ4 DQ2 DQ1 2M 41 VREFB2MN0 IO LVDS2M_4n Yes N36 DQSn9 DQSn4/CQn4 DQ2 DQ1 2M 40 VREFB2MN0 IO LVDS2M_4p Yes M36 DQS9 DQS4/CQ4 DQ2 DQ1 2M 39 VREFB2MN0 IO LVDS2M_5n No J36 DQ9 DQ4 DQ2 DQ1 2M 38 VREFB2MN0 IO LVDS2M_5p No K36 DQ9 DQ4 DQ2 DQ1 2M 37 VREFB2MN0 IO LVDS2M_6n Yes H36 DQ9 DQ4 DQ2 DQ1 2M 36 VREFB2MN0 IO LVDS2M_6p Yes G36 DQ9 DQ4 DQ2 DQ1 2M 35 VREFB2MN0 IO LVDS2M_7n No G37 DQ10 DQ5 DQ2 DQ1 2M 34 VREFB2MN0 IO LVDS2M_7p No F37 DQ10 DQ5 DQ2 DQ1 2M 33 VREFB2MN0 IO LVDS2M_8n Yes D37 DQSn10 DQ5 DQSn2/CQn2 DQ1 2M 32 VREFB2MN0 IO LVDS2M_8p Yes E37 DQS10 DQ5 DQS2/CQ2 DQ1 2M 31 VREFB2MN0 IO LVDS2M_9n No C38 DQ10 DQ5 DQ2 DQ1 2M 30 VREFB2MN0 IO LVDS2M_9p No D38 DQ10 DQ5 DQ2 DQ1 2M 29 VREFB2MN0 IO PLL_2M_CLKOUT1n LVDS2M_10n Yes D39 DQSn11 DQSn5/CQn5 DQ2 DQ1 2M 28 VREFB2MN0 IO "PLL_2M_CLKOUT1p,PLL_2M_CLKOUT1,PLL_2M_FB1" LVDS2M_10p Yes C39 DQS11 DQS5/CQ5 DQ2 DQ1 2M 27 VREFB2MN0 IO LVDS2M_11n No B40 DQ11 DQ5 DQ2 DQ1 2M 26 VREFB2MN0 IO RZQ_2M LVDS2M_11p No A40 DQ11 DQ5 DQ2 DQ1 2M 25 VREFB2MN0 IO CLK_2M_1n LVDS2M_12n Yes B41 DQ11 DQ5 DQ2 DQ1 2M 24 VREFB2MN0 IO CLK_2M_1p LVDS2M_12p Yes A42 DQ11 DQ5 DQ2 DQ1 2M 23 VREFB2MN0 IO CLK_2M_0n LVDS2M_13n No N35 DQ12 DQ6 DQ3 DQ1 2M 22 VREFB2MN0 IO CLK_2M_0p LVDS2M_13p No M35 DQ12 DQ6 DQ3 DQ1 2M 21 VREFB2MN0 IO LVDS2M_14n Yes P34 DQSn12 DQ6 DQ3 DQSn1/CQn1 2M 20 VREFB2MN0 IO LVDS2M_14p Yes N34 DQS12 DQ6 DQ3 DQS1/CQ1 2M 19 VREFB2MN0 IO PLL_2M_CLKOUT0n LVDS2M_15n No K34 DQ12 DQ6 DQ3 DQ1 2M 18 VREFB2MN0 IO "PLL_2M_CLKOUT0p,PLL_2M_CLKOUT0,PLL_2M_FB0" LVDS2M_15p No L34 DQ12 DQ6 DQ3 DQ1 2M 17 VREFB2MN0 IO LVDS2M_16n Yes K35 DQSn13 DQSn6/CQn6 DQ3 DQ1 2M 16 VREFB2MN0 IO LVDS2M_16p Yes L35 DQS13 DQS6/CQ6 DQ3 DQ1 2M 15 VREFB2MN0 IO LVDS2M_17n No H35 DQ13 DQ6 DQ3 DQ1 2M 14 VREFB2MN0 IO LVDS2M_17p No G35 DQ13 DQ6 DQ3 DQ1 2M 13 VREFB2MN0 IO LVDS2M_18n Yes J34 DQ13 DQ6 DQ3 DQ1 2M 12 VREFB2MN0 IO LVDS2M_18p Yes H34 DQ13 DQ6 DQ3 DQ1 2M 11 VREFB2MN0 IO LVDS2M_19n No A39 DQ14 DQ7 DQ3 DQ1 2M 10 VREFB2MN0 IO LVDS2M_19p No A38 DQ14 DQ7 DQ3 DQ1 2M 9 VREFB2MN0 IO LVDS2M_20n Yes B38 DQSn14 DQ7 DQSn3/CQn3 DQ1 2M 8 VREFB2MN0 IO LVDS2M_20p Yes B37 DQS14 DQ7 DQS3/CQ3 DQ1 2M 7 VREFB2MN0 IO LVDS2M_21n No A37 DQ14 DQ7 DQ3 DQ1 2M 6 VREFB2MN0 IO LVDS2M_21p No B36 DQ14 DQ7 DQ3 DQ1 2M 5 VREFB2MN0 IO LVDS2M_22n Yes D36 DQSn15 DQSn7/CQn7 DQ3 DQ1 2M 4 VREFB2MN0 IO LVDS2M_22p Yes C36 DQS15 DQS7/CQ7 DQ3 DQ1 2M 3 VREFB2MN0 IO LVDS2M_23n No E35 DQ15 DQ7 DQ3 DQ1 2M 2 VREFB2MN0 IO LVDS2M_23p No E36 DQ15 DQ7 DQ3 DQ1 2M 1 VREFB2MN0 IO LVDS2M_24n Yes F35 DQ15 DQ7 DQ3 DQ1 2M 0 VREFB2MN0 IO LVDS2M_24p Yes F34 DQ15 DQ7 DQ3 DQ1 2L 47 VREFB2LN0 IO LVDS2L_1n No R31 DQ16 DQ8 DQ4 DQ2 2L 46 VREFB2LN0 IO LVDS2L_1p No P31 DQ16 DQ8 DQ4 DQ2 2L 45 VREFB2LN0 IO LVDS2L_2n Yes R32 DQSn16 DQ8 DQ4 DQ2 2L 44 VREFB2LN0 IO LVDS2L_2p Yes P32 DQS16 DQ8 DQ4 DQ2 2L 43 VREFB2LN0 IO LVDS2L_3n No T32 DQ16 DQ8 DQ4 DQ2 2L 42 VREFB2LN0 IO LVDS2L_3p No T33 DQ16 DQ8 DQ4 DQ2 2L 41 VREFB2LN0 IO LVDS2L_4n Yes N31 DQSn17 DQSn8/CQn8 DQ4 DQ2 2L 40 VREFB2LN0 IO LVDS2L_4p Yes M31 DQS17 DQS8/CQ8 DQ4 DQ2 2L 39 VREFB2LN0 IO LVDS2L_5n No P33 DQ17 DQ8 DQ4 DQ2 2L 38 VREFB2LN0 IO LVDS2L_5p No N33 DQ17 DQ8 DQ4 DQ2 2L 37 VREFB2LN0 IO LVDS2L_6n Yes M33 DQ17 DQ8 DQ4 DQ2 2L 36 VREFB2LN0 IO LVDS2L_6p Yes L33 DQ17 DQ8 DQ4 DQ2 2L 35 VREFB2LN0 IO LVDS2L_7n No L32 DQ18 DQ9 DQ4 DQ2 2L 34 VREFB2LN0 IO LVDS2L_7p No M32 DQ18 DQ9 DQ4 DQ2 2L 33 VREFB2LN0 IO LVDS2L_8n Yes J32 DQSn18 DQ9 DQSn4/CQn4 DQ2 2L 32 VREFB2LN0 IO LVDS2L_8p Yes K32 DQS18 DQ9 DQS4/CQ4 DQ2 2L 31 VREFB2LN0 IO LVDS2L_9n No J33 DQ18 DQ9 DQ4 DQ2 2L 30 VREFB2LN0 IO LVDS2L_9p No H33 DQ18 DQ9 DQ4 DQ2 2L 29 VREFB2LN0 IO PLL_2L_CLKOUT1n LVDS2L_10n Yes F33 DQSn19 DQSn9/CQn9 DQ4 DQ2 2L 28 VREFB2LN0 IO "PLL_2L_CLKOUT1p,PLL_2L_CLKOUT1,PLL_2L_FB1" LVDS2L_10p Yes G33 DQS19 DQS9/CQ9 DQ4 DQ2 2L 27 VREFB2LN0 IO LVDS2L_11n No C35 DQ19 DQ9 DQ4 DQ2 2L 26 VREFB2LN0 IO RZQ_2L LVDS2L_11p No C34 DQ19 DQ9 DQ4 DQ2 2L 25 VREFB2LN0 IO CLK_2L_1n LVDS2L_12n Yes E34 DQ19 DQ9 DQ4 DQ2 2L 24 VREFB2LN0 IO CLK_2L_1p LVDS2L_12p Yes D34 DQ19 DQ9 DQ4 DQ2 2L 23 VREFB2LN0 IO CLK_2L_0n LVDS2L_13n No G32 DQ20 DQ10 DQ5 DQ2 2L 22 VREFB2LN0 IO CLK_2L_0p LVDS2L_13p No F32 DQ20 DQ10 DQ5 DQ2 2L 21 VREFB2LN0 IO LVDS2L_14n Yes H31 DQSn20 DQ10 DQ5 DQSn2/CQn2 2L 20 VREFB2LN0 IO LVDS2L_14p Yes G31 DQS20 DQ10 DQ5 DQS2/CQ2 2L 19 VREFB2LN0 IO PLL_2L_CLKOUT0n LVDS2L_15n No C33 DQ20 DQ10 DQ5 DQ2 2L 18 VREFB2LN0 IO "PLL_2L_CLKOUT0p,PLL_2L_CLKOUT0,PLL_2L_FB0" LVDS2L_15p No D33 DQ20 DQ10 DQ5 DQ2 2L 17 VREFB2LN0 IO LVDS2L_16n Yes E32 DQSn21 DQSn10/CQn10 DQ5 DQ2 2L 16 VREFB2LN0 IO LVDS2L_16p Yes D32 DQS21 DQS10/CQ10 DQ5 DQ2 2L 15 VREFB2LN0 IO LVDS2L_17n No K31 DQ21 DQ10 DQ5 DQ2 2L 14 VREFB2LN0 IO LVDS2L_17p No J31 DQ21 DQ10 DQ5 DQ2 2L 13 VREFB2LN0 IO LVDS2L_18n Yes B32 DQ21 DQ10 DQ5 DQ2 2L 12 VREFB2LN0 IO LVDS2L_18p Yes B33 DQ21 DQ10 DQ5 DQ2 2L 11 VREFB2LN0 IO LVDS2L_19n No A34 DQ22 DQ11 DQ5 DQ2 2L 10 VREFB2LN0 IO LVDS2L_19p No A33 DQ22 DQ11 DQ5 DQ2 2L 9 VREFB2LN0 IO LVDS2L_20n Yes B35 DQSn22 DQ11 DQSn5/CQn5 DQ2 2L 8 VREFB2LN0 IO LVDS2L_20p Yes A35 DQS22 DQ11 DQS5/CQ5 DQ2 2L 7 VREFB2LN0 IO LVDS2L_21n No E31 DQ22 DQ11 DQ5 DQ2 2L 6 VREFB2LN0 IO LVDS2L_21p No D31 DQ22 DQ11 DQ5 DQ2 2L 5 VREFB2LN0 IO LVDS2L_22n Yes A32 DQSn23 DQSn11/CQn11 DQ5 DQ2 2L 4 VREFB2LN0 IO LVDS2L_22p Yes B31 DQS23 DQS11/CQ11 DQ5 DQ2 2L 3 VREFB2LN0 IO LVDS2L_23n No A30 DQ23 DQ11 DQ5 DQ2 2L 2 VREFB2LN0 IO LVDS2L_23p No B30 DQ23 DQ11 DQ5 DQ2 2L 1 VREFB2LN0 IO LVDS2L_24n Yes C31 DQ23 DQ11 DQ5 DQ2 2L 0 VREFB2LN0 IO LVDS2L_24p Yes C30 DQ23 DQ11 DQ5 DQ2 2K 47 VREFB2KN0 IO LVDS2K_1n No P29 DQ24 DQ12 DQ6 DQ3 2K 46 VREFB2KN0 IO LVDS2K_1p No N29 DQ24 DQ12 DQ6 DQ3 2K 45 VREFB2KN0 IO LVDS2K_2n Yes R29 DQSn24 DQ12 DQ6 DQ3 2K 44 VREFB2KN0 IO LVDS2K_2p Yes R30 DQS24 DQ12 DQ6 DQ3 2K 43 VREFB2KN0 IO LVDS2K_3n No L30 DQ24 DQ12 DQ6 DQ3 2K 42 VREFB2KN0 IO LVDS2K_3p No K30 DQ24 DQ12 DQ6 DQ3 2K 41 VREFB2KN0 IO LVDS2K_4n Yes L29 DQSn25 DQSn12/CQn12 DQ6 DQ3 2K 40 VREFB2KN0 IO LVDS2K_4p Yes K29 DQS25 DQS12/CQ12 DQ6 DQ3 2K 39 VREFB2KN0 IO LVDS2K_5n No J29 DQ25 DQ12 DQ6 DQ3 2K 38 VREFB2KN0 IO LVDS2K_5p No H29 DQ25 DQ12 DQ6 DQ3 2K 37 VREFB2KN0 IO LVDS2K_6n Yes N30 DQ25 DQ12 DQ6 DQ3 2K 36 VREFB2KN0 IO LVDS2K_6p Yes M30 DQ25 DQ12 DQ6 DQ3 2K 35 VREFB2KN0 IO LVDS2K_7n No F30 DQ26 DQ13 DQ6 DQ3 2K 34 VREFB2KN0 IO LVDS2K_7p No E30 DQ26 DQ13 DQ6 DQ3 2K 33 VREFB2KN0 IO LVDS2K_8n Yes H30 DQSn26 DQ13 DQSn6/CQn6 DQ3 2K 32 VREFB2KN0 IO LVDS2K_8p Yes G30 DQS26 DQ13 DQS6/CQ6 DQ3 2K 31 VREFB2KN0 IO LVDS2K_9n No D29 DQ26 DQ13 DQ6 DQ3 2K 30 VREFB2KN0 IO LVDS2K_9p No C29 DQ26 DQ13 DQ6 DQ3 2K 29 VREFB2KN0 IO PLL_2K_CLKOUT1n LVDS2K_10n Yes F29 DQSn27 DQSn13/CQn13 DQ6 DQ3 2K 28 VREFB2KN0 IO "PLL_2K_CLKOUT1p,PLL_2K_CLKOUT1,PLL_2K_FB1" LVDS2K_10p Yes E29 DQS27 DQS13/CQ13 DQ6 DQ3 2K 27 VREFB2KN0 IO LVDS2K_11n No A29 DQ27 DQ13 DQ6 DQ3 2K 26 VREFB2KN0 IO RZQ_2K LVDS2K_11p No A28 DQ27 DQ13 DQ6 DQ3 2K 25 VREFB2KN0 IO CLK_2K_1n LVDS2K_12n Yes B28 DQ27 DQ13 DQ6 DQ3 2K 24 VREFB2KN0 IO CLK_2K_1p LVDS2K_12p Yes C28 DQ27 DQ13 DQ6 DQ3 2F 23 VREFB2FN0 IO CLK_2F_0n LVDS2F_13n No BL22 DQ36 DQ18 DQ9 DQ4 2F 22 VREFB2FN0 IO CLK_2F_0p LVDS2F_13p No BL23 DQ36 DQ18 DQ9 DQ4 2F 21 VREFB2FN0 IO LVDS2F_14n Yes BK22 DQSn36 DQ18 DQ9 DQSn4/CQn4 2F 20 VREFB2FN0 IO LVDS2F_14p Yes BJ22 DQS36 DQ18 DQ9 DQS4/CQ4 2F 19 VREFB2FN0 IO PLL_2F_CLKOUT0n LVDS2F_15n No BH23 DQ36 DQ18 DQ9 DQ4 2F 18 VREFB2FN0 IO "PLL_2F_CLKOUT0p,PLL_2F_CLKOUT0,PLL_2F_FB0" LVDS2F_15p No BJ23 DQ36 DQ18 DQ9 DQ4 2F 17 VREFB2FN0 IO LVDS2F_16n Yes BG23 DQSn37 DQSn18/CQn18 DQ9 DQ4 2F 16 VREFB2FN0 IO LVDS2F_16p Yes BF23 DQS37 DQS18/CQ18 DQ9 DQ4 2F 15 VREFB2FN0 IO LVDS2F_17n No BF24 DQ37 DQ18 DQ9 DQ4 2F 14 VREFB2FN0 IO LVDS2F_17p No BE24 DQ37 DQ18 DQ9 DQ4 2F 13 VREFB2FN0 IO LVDS2F_18n Yes BH25 DQ37 DQ18 DQ9 DQ4 2F 12 VREFB2FN0 IO LVDS2F_18p Yes BH24 DQ37 DQ18 DQ9 DQ4 2F 11 VREFB2FN0 IO LVDS2F_19n No BE25 DQ38 DQ19 DQ9 DQ4 2F 10 VREFB2FN0 IO LVDS2F_19p No BE26 DQ38 DQ19 DQ9 DQ4 2F 9 VREFB2FN0 IO LVDS2F_20n Yes BF25 DQSn38 DQ19 DQSn9/CQn9 DQ4 2F 8 VREFB2FN0 IO LVDS2F_20p Yes BG25 DQS38 DQ19 DQS9/CQ9 DQ4 2F 7 VREFB2FN0 IO LVDS2F_21n No BB27 DQ38 DQ19 DQ9 DQ4 2F 6 VREFB2FN0 IO LVDS2F_21p No BB26 DQ38 DQ19 DQ9 DQ4 2F 5 VREFB2FN0 IO LVDS2F_22n Yes BD26 DQSn39 DQSn19/CQn19 DQ9 DQ4 2F 4 VREFB2FN0 IO LVDS2F_22p Yes BC26 DQS39 DQS19/CQ19 DQ9 DQ4 2F 3 VREFB2FN0 IO LVDS2F_23n No AW27 DQ39 DQ19 DQ9 DQ4 2F 2 VREFB2FN0 IO LVDS2F_23p No AW28 DQ39 DQ19 DQ9 DQ4 2F 1 VREFB2FN0 IO LVDS2F_24n Yes BA27 DQ39 DQ19 DQ9 DQ4 2F 0 VREFB2FN0 IO LVDS2F_24p Yes AY27 DQ39 DQ19 DQ9 DQ4 2C 47 VREFB2CN0 IO LVDS2C_1n No BL24 DQ40 DQ20 DQ10 DQ5 2C 46 VREFB2CN0 IO LVDS2C_1p No BL25 DQ40 DQ20 DQ10 DQ5 2C 45 VREFB2CN0 IO LVDS2C_2n Yes BJ24 DQSn40 DQ20 DQ10 DQ5 2C 44 VREFB2CN0 IO LVDS2C_2p Yes BK24 DQS40 DQ20 DQ10 DQ5 2C 43 VREFB2CN0 IO LVDS2C_3n No BH26 DQ40 DQ20 DQ10 DQ5 2C 42 VREFB2CN0 IO LVDS2C_3p No BJ26 DQ40 DQ20 DQ10 DQ5 2C 41 VREFB2CN0 IO LVDS2C_4n Yes BK25 DQSn41 DQSn20/CQn20 DQ10 DQ5 2C 40 VREFB2CN0 IO LVDS2C_4p Yes BK26 DQS41 DQS20/CQ20 DQ10 DQ5 2C 39 VREFB2CN0 IO LVDS2C_5n No BF27 DQ41 DQ20 DQ10 DQ5 2C 38 VREFB2CN0 IO LVDS2C_5p No BE27 DQ41 DQ20 DQ10 DQ5 2C 37 VREFB2CN0 IO LVDS2C_6n Yes BG27 DQ41 DQ20 DQ10 DQ5 2C 36 VREFB2CN0 IO LVDS2C_6p Yes BG26 DQ41 DQ20 DQ10 DQ5 2C 35 VREFB2CN0 IO LVDS2C_7n No BL27 DQ42 DQ21 DQ10 DQ5 2C 34 VREFB2CN0 IO LVDS2C_7p No BL28 DQ42 DQ21 DQ10 DQ5 2C 33 VREFB2CN0 IO LVDS2C_8n Yes BJ27 DQSn42 DQ21 DQSn10/CQn10 DQ5 2C 32 VREFB2CN0 IO LVDS2C_8p Yes BK27 DQS42 DQ21 DQS10/CQ10 DQ5 2C 31 VREFB2CN0 IO LVDS2C_9n No BF28 DQ42 DQ21 DQ10 DQ5 2C 30 VREFB2CN0 IO LVDS2C_9p No BG28 DQ42 DQ21 DQ10 DQ5 2C 29 VREFB2CN0 IO PLL_2C_CLKOUT1n LVDS2C_10n Yes BH28 DQSn43 DQSn21/CQn21 DQ10 DQ5 2C 28 VREFB2CN0 IO "PLL_2C_CLKOUT1p,PLL_2C_CLKOUT1,PLL_2C_FB1" LVDS2C_10p Yes BJ28 DQS43 DQS21/CQ21 DQ10 DQ5 2C 27 VREFB2CN0 IO LVDS2C_11n No BB28 DQ43 DQ21 DQ10 DQ5 2C 26 VREFB2CN0 IO RZQ_2C LVDS2C_11p No BC28 DQ43 DQ21 DQ10 DQ5 2C 25 VREFB2CN0 IO CLK_2C_1n LVDS2C_12n Yes BD27 DQ43 DQ21 DQ10 DQ5 2C 24 VREFB2CN0 IO CLK_2C_1p LVDS2C_12p Yes BD28 DQ43 DQ21 DQ10 DQ5 2C 23 VREFB2CN0 IO CLK_2C_0n LVDS2C_13n No BC29 DQ44 DQ22 DQ11 DQ5 2C 22 VREFB2CN0 IO CLK_2C_0p LVDS2C_13p No BD29 DQ44 DQ22 DQ11 DQ5 2C 21 VREFB2CN0 IO LVDS2C_14n Yes BA29 DQSn44 DQ22 DQ11 DQSn5/CQn5 2C 20 VREFB2CN0 IO LVDS2C_14p Yes BA28 DQS44 DQ22 DQ11 DQS5/CQ5 2C 19 VREFB2CN0 IO PLL_2C_CLKOUT0n LVDS2C_15n No BH29 DQ44 DQ22 DQ11 DQ5 2C 18 VREFB2CN0 IO "PLL_2C_CLKOUT0p,PLL_2C_CLKOUT0,PLL_2C_FB0" LVDS2C_15p No BJ29 DQ44 DQ22 DQ11 DQ5 2C 17 VREFB2CN0 IO LVDS2C_16n Yes BE29 DQSn45 DQSn22/CQn22 DQ11 DQ5 2C 16 VREFB2CN0 IO LVDS2C_16p Yes BF29 DQS45 DQS22/CQ22 DQ11 DQ5 2C 15 VREFB2CN0 IO LVDS2C_17n No BL30 DQ45 DQ22 DQ11 DQ5 2C 14 VREFB2CN0 IO LVDS2C_17p No BK30 DQ45 DQ22 DQ11 DQ5 2C 13 VREFB2CN0 IO LVDS2C_18n Yes BL29 DQ45 DQ22 DQ11 DQ5 2C 12 VREFB2CN0 IO LVDS2C_18p Yes BK29 DQ45 DQ22 DQ11 DQ5 2C 11 VREFB2CN0 IO LVDS2C_19n No BE30 DQ46 DQ23 DQ11 DQ5 2C 10 VREFB2CN0 IO LVDS2C_19p No BF30 DQ46 DQ23 DQ11 DQ5 2C 9 VREFB2CN0 IO LVDS2C_20n Yes BG30 DQSn46 DQ23 DQSn11/CQn11 DQ5 2C 8 VREFB2CN0 IO LVDS2C_20p Yes BH30 DQS46 DQ23 DQS11/CQ11 DQ5 2C 7 VREFB2CN0 IO LVDS2C_21n No BA30 DQ46 DQ23 DQ11 DQ5 2C 6 VREFB2CN0 IO LVDS2C_21p No AY30 DQ46 DQ23 DQ11 DQ5 2C 5 VREFB2CN0 IO LVDS2C_22n Yes BC30 DQSn47 DQSn23/CQn23 DQ11 DQ5 2C 4 VREFB2CN0 IO LVDS2C_22p Yes BB30 DQS47 DQS23/CQ23 DQ11 DQ5 2C 3 VREFB2CN0 IO LVDS2C_23n No AV29 DQ47 DQ23 DQ11 DQ5 2C 2 VREFB2CN0 IO LVDS2C_23p No AV30 DQ47 DQ23 DQ11 DQ5 2C 1 VREFB2CN0 IO LVDS2C_24n Yes AY29 DQ47 DQ23 DQ11 DQ5 2C 0 VREFB2CN0 IO LVDS2C_24p Yes AW29 DQ47 DQ23 DQ11 DQ5 2B 47 VREFB2BN0 IO LVDS2B_1n No BC31 DQ48 DQ24 DQ12 DQ6 2B 46 VREFB2BN0 IO LVDS2B_1p No BB31 DQ48 DQ24 DQ12 DQ6 2B 45 VREFB2BN0 IO LVDS2B_2n Yes BE31 DQSn48 DQ24 DQ12 DQ6 2B 44 VREFB2BN0 IO LVDS2B_2p Yes BD31 DQS48 DQ24 DQ12 DQ6 2B 43 VREFB2BN0 IO LVDS2B_3n No BG31 DQ48 DQ24 DQ12 DQ6 2B 42 VREFB2BN0 IO LVDS2B_3p No BH31 DQ48 DQ24 DQ12 DQ6 2B 41 VREFB2BN0 IO LVDS2B_4n Yes BJ31 DQSn49 DQSn24/CQn24 DQ12 DQ6 2B 40 VREFB2BN0 IO LVDS2B_4p Yes BK31 DQS49 DQS24/CQ24 DQ12 DQ6 2B 39 VREFB2BN0 IO LVDS2B_5n No BK32 DQ49 DQ24 DQ12 DQ6 2B 38 VREFB2BN0 IO LVDS2B_5p No BJ32 DQ49 DQ24 DQ12 DQ6 2B 37 VREFB2BN0 IO LVDS2B_6n Yes BL33 DQ49 DQ24 DQ12 DQ6 2B 36 VREFB2BN0 IO LVDS2B_6p Yes BL32 DQ49 DQ24 DQ12 DQ6 2B 35 VREFB2BN0 IO LVDS2B_7n No BD32 DQ50 DQ25 DQ12 DQ6 2B 34 VREFB2BN0 IO LVDS2B_7p No BE32 DQ50 DQ25 DQ12 DQ6 2B 33 VREFB2BN0 IO LVDS2B_8n Yes BF32 DQSn50 DQ25 DQSn12/CQn12 DQ6 2B 32 VREFB2BN0 IO LVDS2B_8p Yes BG32 DQS50 DQ25 DQS12/CQ12 DQ6 2B 31 VREFB2BN0 IO LVDS2B_9n No AY32 DQ50 DQ25 DQ12 DQ6 2B 30 VREFB2BN0 IO LVDS2B_9p No AW32 DQ50 DQ25 DQ12 DQ6 2B 29 VREFB2BN0 IO PLL_2B_CLKOUT1n LVDS2B_10n Yes BA32 DQSn51 DQSn25/CQn25 DQ12 DQ6 2B 28 VREFB2BN0 IO "PLL_2B_CLKOUT1p,PLL_2B_CLKOUT1,PLL_2B_FB1" LVDS2B_10p Yes BB32 DQS51 DQS25/CQ25 DQ12 DQ6 2B 27 VREFB2BN0 IO LVDS2B_11n No BB33 DQ51 DQ25 DQ12 DQ6 2B 26 VREFB2BN0 IO RZQ_2B LVDS2B_11p No BA33 DQ51 DQ25 DQ12 DQ6 2B 25 VREFB2BN0 IO CLK_2B_1n LVDS2B_12n Yes AY31 DQ51 DQ25 DQ12 DQ6 2B 24 VREFB2BN0 IO CLK_2B_1p LVDS2B_12p Yes AW31 DQ51 DQ25 DQ12 DQ6 2B 23 VREFB2BN0 IO CLK_2B_0n LVDS2B_13n No BF33 DQ52 DQ26 DQ13 DQ6 2B 22 VREFB2BN0 IO CLK_2B_0p LVDS2B_13p No BG33 DQ52 DQ26 DQ13 DQ6 2B 21 VREFB2BN0 IO LVDS2B_14n Yes BC33 DQSn52 DQ26 DQ13 DQSn6/CQn6 2B 20 VREFB2BN0 IO LVDS2B_14p Yes BD33 DQS52 DQ26 DQ13 DQS6/CQ6 2B 19 VREFB2BN0 IO PLL_2B_CLKOUT0n LVDS2B_15n No BL35 DQ52 DQ26 DQ13 DQ6 2B 18 VREFB2BN0 IO "PLL_2B_CLKOUT0p,PLL_2B_CLKOUT0,PLL_2B_FB0" LVDS2B_15p No BK35 DQ52 DQ26 DQ13 DQ6 2B 17 VREFB2BN0 IO LVDS2B_16n Yes BJ33 DQSn53 DQSn26/CQn26 DQ13 DQ6 2B 16 VREFB2BN0 IO LVDS2B_16p Yes BH33 DQS53 DQS26/CQ26 DQ13 DQ6 2B 15 VREFB2BN0 IO LVDS2B_17n No BH34 DQ53 DQ26 DQ13 DQ6 2B 14 VREFB2BN0 IO LVDS2B_17p No BJ34 DQ53 DQ26 DQ13 DQ6 2B 13 VREFB2BN0 IO LVDS2B_18n Yes BK34 DQ53 DQ26 DQ13 DQ6 2B 12 VREFB2BN0 IO LVDS2B_18p Yes BL34 DQ53 DQ26 DQ13 DQ6 2B 11 VREFB2BN0 IO LVDS2B_19n No BH35 DQ54 DQ27 DQ13 DQ6 2B 10 VREFB2BN0 IO LVDS2B_19p No BG35 DQ54 DQ27 DQ13 DQ6 2B 9 VREFB2BN0 IO LVDS2B_20n Yes BE34 DQSn54 DQ27 DQSn13/CQn13 DQ6 2B 8 VREFB2BN0 IO LVDS2B_20p Yes BF34 DQS54 DQ27 DQS13/CQ13 DQ6 2B 7 VREFB2BN0 IO LVDS2B_21n No BC34 DQ54 DQ27 DQ13 DQ6 2B 6 VREFB2BN0 IO LVDS2B_21p No BD34 DQ54 DQ27 DQ13 DQ6 2B 5 VREFB2BN0 IO LVDS2B_22n Yes AY34 DQSn55 DQSn27/CQn27 DQ13 DQ6 2B 4 VREFB2BN0 IO LVDS2B_22p Yes BA34 DQS55 DQS27/CQ27 DQ13 DQ6 2B 3 VREFB2BN0 IO LVDS2B_23n No AV34 DQ55 DQ27 DQ13 DQ6 2B 2 VREFB2BN0 IO LVDS2B_23p No AW34 DQ55 DQ27 DQ13 DQ6 2B 1 VREFB2BN0 IO LVDS2B_24n Yes AW33 DQ55 DQ27 DQ13 DQ6 2B 0 VREFB2BN0 IO LVDS2B_24p Yes AV33 DQ55 DQ27 DQ13 DQ6 2A 47 VREFB2AN0 IO LVDS2A_1n No BF40 DQ56 DQ28 DQ14 DQ7 2A 46 VREFB2AN0 IO LVDS2A_1p No BE40 DQ56 DQ28 DQ14 DQ7 2A 45 VREFB2AN0 IO LVDS2A_2n Yes BH40 DQSn56 DQ28 DQ14 DQ7 2A 44 VREFB2AN0 IO LVDS2A_2p Yes BG40 DQS56 DQ28 DQ14 DQ7 2A 43 VREFB2AN0 IO LVDS2A_3n No BL40 DQ56 DQ28 DQ14 DQ7 2A 42 VREFB2AN0 IO LVDS2A_3p No BK40 DQ56 DQ28 DQ14 DQ7 2A 41 VREFB2AN0 IO LVDS2A_4n Yes BL39 DQSn57 DQSn28/CQn28 DQ14 DQ7 2A 40 VREFB2AN0 IO LVDS2A_4p Yes BK39 DQS57 DQS28/CQ28 DQ14 DQ7 2A 39 VREFB2AN0 IO LVDS2A_5n No BH39 DQ57 DQ28 DQ14 DQ7 2A 38 VREFB2AN0 IO LVDS2A_5p No BJ39 DQ57 DQ28 DQ14 DQ7 2A 37 VREFB2AN0 IO LVDS2A_6n Yes BE39 DQ57 DQ28 DQ14 DQ7 2A 36 VREFB2AN0 IO LVDS2A_6p Yes BF39 DQ57 DQ28 DQ14 DQ7 2A 35 VREFB2AN0 IO LVDS2A_7n No BD39 DQ58 DQ29 DQ14 DQ7 2A 34 VREFB2AN0 IO LVDS2A_7p No BC39 DQ58 DQ29 DQ14 DQ7 2A 33 VREFB2AN0 IO LVDS2A_8n Yes BD38 DQSn58 DQ29 DQSn14/CQn14 DQ7 2A 32 VREFB2AN0 IO LVDS2A_8p Yes BC38 DQS58 DQ29 DQS14/CQ14 DQ7 2A 31 VREFB2AN0 IO LVDS2A_9n No BG38 DQ58 DQ29 DQ14 DQ7 2A 30 VREFB2AN0 IO LVDS2A_9p No BF38 DQ58 DQ29 DQ14 DQ7 2A 29 VREFB2AN0 IO PLL_2A_CLKOUT1n LVDS2A_10n Yes BJ38 DQSn59 DQSn29/CQn29 DQ14 DQ7 2A 28 VREFB2AN0 IO "PLL_2A_CLKOUT1p,PLL_2A_CLKOUT1,PLL_2A_FB1" LVDS2A_10p Yes BH38 DQS59 DQS29/CQ29 DQ14 DQ7 2A 27 VREFB2AN0 IO LVDS2A_11n No BL38 DQ59 DQ29 DQ14 DQ7 2A 26 VREFB2AN0 IO RZQ_2A LVDS2A_11p No BL37 DQ59 DQ29 DQ14 DQ7 2A 25 VREFB2AN0 IO CLK_2A_1n LVDS2A_12n Yes BK37 DQ59 DQ29 DQ14 DQ7 2A 24 VREFB2AN0 IO CLK_2A_1p LVDS2A_12p Yes BJ37 DQ59 DQ29 DQ14 DQ7 2A 23 VREFB2AN0 IO CLK_2A_0n LVDS2A_13n No BE37 DQ60 DQ30 DQ15 DQ7 2A 22 VREFB2AN0 IO CLK_2A_0p LVDS2A_13p No BD37 DQ60 DQ30 DQ15 DQ7 2A 21 VREFB2AN0 IO LVDS2A_14n Yes BF37 DQSn60 DQ30 DQ15 DQSn7/CQn7 2A 20 VREFB2AN0 IO LVDS2A_14p Yes BG37 DQS60 DQ30 DQ15 DQS7/CQ7 2A 19 VREFB2AN0 IO PLL_2A_CLKOUT0n LVDS2A_15n No AY37 DQ60 DQ30 DQ15 DQ7 2A 18 VREFB2AN0 IO "PLL_2A_CLKOUT0p,PLL_2A_CLKOUT0,PLL_2A_FB0" LVDS2A_15p No AW37 DQ60 DQ30 DQ15 DQ7 2A 17 VREFB2AN0 IO LVDS2A_16n Yes BB37 DQSn61 DQSn30/CQn30 DQ15 DQ7 2A 16 VREFB2AN0 IO LVDS2A_16p Yes BA37 DQS61 DQS30/CQ30 DQ15 DQ7 2A 15 VREFB2AN0 IO LVDS2A_17n No BB36 DQ61 DQ30 DQ15 DQ7 2A 14 VREFB2AN0 IO LVDS2A_17p No BC36 DQ61 DQ30 DQ15 DQ7 2A 13 VREFB2AN0 IO LVDS2A_18n Yes AW36 DQ61 DQ30 DQ15 DQ7 2A 12 VREFB2AN0 IO LVDS2A_18p Yes AY36 DQ61 DQ30 DQ15 DQ7 2A 11 VREFB2AN0 IO LVDS2A_19n No BH36 DQ62 DQ31 DQ15 DQ7 2A 10 VREFB2AN0 IO LVDS2A_19p No BG36 DQ62 DQ31 DQ15 DQ7 2A 9 VREFB2AN0 IO LVDS2A_20n Yes BE36 DQSn62 DQ31 DQSn15/CQn15 DQ7 2A 8 VREFB2AN0 IO LVDS2A_20p Yes BD36 DQS62 DQ31 DQS15/CQ15 DQ7 2A 7 VREFB2AN0 IO LVDS2A_21n No BF35 DQ62 DQ31 DQ15 DQ7 2A 6 VREFB2AN0 IO LVDS2A_21p No BE35 DQ62 DQ31 DQ15 DQ7 2A 5 VREFB2AN0 IO LVDS2A_22n Yes BK36 DQSn63 DQSn31/CQn31 DQ15 DQ7 2A 4 VREFB2AN0 IO LVDS2A_22p Yes BJ36 DQS63 DQS31/CQ31 DQ15 DQ7 2A 3 VREFB2AN0 IO LVDS2A_23n No AY35 DQ63 DQ31 DQ15 DQ7 2A 2 VREFB2AN0 IO LVDS2A_23p No BA35 DQ63 DQ31 DQ15 DQ7 2A 1 VREFB2AN0 IO LVDS2A_24n Yes BB35 DQ63 DQ31 DQ15 DQ7 2A 0 VREFB2AN0 IO LVDS2A_24p Yes BC35 DQ63 DQ31 DQ15 DQ7 3L 47 VREFB3LN0 IO LVDS3L_1n No M20 DQ64 DQ32 DQ16 DQ8 3L 46 VREFB3LN0 IO LVDS3L_1p No N20 DQ64 DQ32 DQ16 DQ8 3L 45 VREFB3LN0 IO LVDS3L_2n Yes P19 DQSn64 DQ32 DQ16 DQ8 3L 44 VREFB3LN0 IO LVDS3L_2p Yes N19 DQS64 DQ32 DQ16 DQ8 3L 43 VREFB3LN0 IO LVDS3L_3n No K21 DQ64 DQ32 DQ16 DQ8 3L 42 VREFB3LN0 IO LVDS3L_3p No J21 DQ64 DQ32 DQ16 DQ8 3L 41 VREFB3LN0 IO LVDS3L_4n Yes K20 DQSn65 DQSn32/CQn32 DQ16 DQ8 3L 40 VREFB3LN0 IO LVDS3L_4p Yes L20 DQS65 DQS32/CQ32 DQ16 DQ8 3L 39 VREFB3LN0 IO LVDS3L_5n No J22 DQ65 DQ32 DQ16 DQ8 3L 38 VREFB3LN0 IO LVDS3L_5p No K22 DQ65 DQ32 DQ16 DQ8 3L 37 VREFB3LN0 IO LVDS3L_6n Yes J23 DQ65 DQ32 DQ16 DQ8 3L 36 VREFB3LN0 IO LVDS3L_6p Yes H23 DQ65 DQ32 DQ16 DQ8 3L 35 VREFB3LN0 IO LVDS3L_7n No G22 DQ66 DQ33 DQ16 DQ8 3L 34 VREFB3LN0 IO LVDS3L_7p No F22 DQ66 DQ33 DQ16 DQ8 3L 33 VREFB3LN0 IO LVDS3L_8n Yes F23 DQSn66 DQ33 DQSn16/CQn16 DQ8 3L 32 VREFB3LN0 IO LVDS3L_8p Yes G23 DQS66 DQ33 DQS16/CQ16 DQ8 3L 31 VREFB3LN0 IO LVDS3L_9n No C23 DQ66 DQ33 DQ16 DQ8 3L 30 VREFB3LN0 IO LVDS3L_9p No D23 DQ66 DQ33 DQ16 DQ8 3L 29 VREFB3LN0 IO PLL_3L_CLKOUT1n LVDS3L_10n Yes B21 DQSn67 DQSn33/CQn33 DQ16 DQ8 3L 28 VREFB3LN0 IO "PLL_3L_CLKOUT1p,PLL_3L_CLKOUT1,PLL_3L_FB1" LVDS3L_10p Yes B22 DQS67 DQS33/CQ33 DQ16 DQ8 3L 27 VREFB3LN0 IO LVDS3L_11n No A22 DQ67 DQ33 DQ16 DQ8 3L 26 VREFB3LN0 IO RZQ_3L LVDS3L_11p No A23 DQ67 DQ33 DQ16 DQ8 3L 25 VREFB3LN0 IO CLK_3L_1n LVDS3L_12n Yes B23 DQ67 DQ33 DQ16 DQ8 3L 24 VREFB3LN0 IO CLK_3L_1p LVDS3L_12p Yes A24 DQ67 DQ33 DQ16 DQ8 3L 23 VREFB3LN0 IO CLK_3L_0n LVDS3L_13n No A25 DQ68 DQ34 DQ17 DQ8 3L 22 VREFB3LN0 IO CLK_3L_0p LVDS3L_13p No B25 DQ68 DQ34 DQ17 DQ8 3L 21 VREFB3LN0 IO LVDS3L_14n Yes H24 DQSn68 DQ34 DQ17 DQSn8/CQn8 3L 20 VREFB3LN0 IO LVDS3L_14p Yes J24 DQS68 DQ34 DQ17 DQS8/CQ8 3L 19 VREFB3LN0 IO PLL_3L_CLKOUT0n LVDS3L_15n No F24 DQ68 DQ34 DQ17 DQ8 3L 18 VREFB3LN0 IO "PLL_3L_CLKOUT0p,PLL_3L_CLKOUT0,PLL_3L_FB0" LVDS3L_15p No E24 DQ68 DQ34 DQ17 DQ8 3L 17 VREFB3LN0 IO LVDS3L_16n Yes C24 DQSn69 DQSn34/CQn34 DQ17 DQ8 3L 16 VREFB3LN0 IO LVDS3L_16p Yes D24 DQS69 DQS34/CQ34 DQ17 DQ8 3L 15 VREFB3LN0 IO LVDS3L_17n No H25 DQ69 DQ34 DQ17 DQ8 3L 14 VREFB3LN0 IO LVDS3L_17p No G25 DQ69 DQ34 DQ17 DQ8 3L 13 VREFB3LN0 IO LVDS3L_18n Yes E25 DQ69 DQ34 DQ17 DQ8 3L 12 VREFB3LN0 IO LVDS3L_18p Yes F25 DQ69 DQ34 DQ17 DQ8 3L 11 VREFB3LN0 IO LVDS3L_19n No G26 DQ70 DQ35 DQ17 DQ8 3L 10 VREFB3LN0 IO LVDS3L_19p No G27 DQ70 DQ35 DQ17 DQ8 3L 9 VREFB3LN0 IO LVDS3L_20n Yes H26 DQSn70 DQ35 DQSn17/CQn17 DQ8 3L 8 VREFB3LN0 IO LVDS3L_20p Yes J26 DQS70 DQ35 DQS17/CQ17 DQ8 3L 7 VREFB3LN0 IO LVDS3L_21n No E26 DQ70 DQ35 DQ17 DQ8 3L 6 VREFB3LN0 IO LVDS3L_21p No D26 DQ70 DQ35 DQ17 DQ8 3L 5 VREFB3LN0 IO LVDS3L_22n Yes E27 DQSn71 DQSn35/CQn35 DQ17 DQ8 3L 4 VREFB3LN0 IO LVDS3L_22p Yes F27 DQS71 DQS35/CQ35 DQ17 DQ8 3L 3 VREFB3LN0 IO LVDS3L_23n No B26 DQ71 DQ35 DQ17 DQ8 3L 2 VREFB3LN0 IO LVDS3L_23p No B27 DQ71 DQ35 DQ17 DQ8 3L 1 VREFB3LN0 IO LVDS3L_24n Yes C25 DQ71 DQ35 DQ17 DQ8 3L 0 VREFB3LN0 IO LVDS3L_24p Yes C26 DQ71 DQ35 DQ17 DQ8 3K 47 VREFB3KN0 IO LVDS3K_1n No E22 DQ72 DQ36 DQ18 DQ9 3K 46 VREFB3KN0 IO LVDS3K_1p No D22 DQ72 DQ36 DQ18 DQ9 3K 45 VREFB3KN0 IO LVDS3K_2n Yes E21 DQSn72 DQ36 DQ18 DQ9 3K 44 VREFB3KN0 IO LVDS3K_2p Yes D21 DQS72 DQ36 DQ18 DQ9 3K 43 VREFB3KN0 IO LVDS3K_3n No C21 DQ72 DQ36 DQ18 DQ9 3K 42 VREFB3KN0 IO LVDS3K_3p No C20 DQ72 DQ36 DQ18 DQ9 3K 41 VREFB3KN0 IO LVDS3K_4n Yes G21 DQSn73 DQSn36/CQn36 DQ18 DQ9 3K 40 VREFB3KN0 IO LVDS3K_4p Yes H21 DQS73 DQS36/CQ36 DQ18 DQ9 3K 39 VREFB3KN0 IO LVDS3K_5n No H20 DQ73 DQ36 DQ18 DQ9 3K 38 VREFB3KN0 IO LVDS3K_5p No G20 DQ73 DQ36 DQ18 DQ9 3K 37 VREFB3KN0 IO LVDS3K_6n Yes F20 DQ73 DQ36 DQ18 DQ9 3K 36 VREFB3KN0 IO LVDS3K_6p Yes E20 DQ73 DQ36 DQ18 DQ9 3K 35 VREFB3KN0 IO LVDS3K_7n No A19 DQ74 DQ37 DQ18 DQ9 3K 34 VREFB3KN0 IO LVDS3K_7p No A18 DQ74 DQ37 DQ18 DQ9 3K 33 VREFB3KN0 IO LVDS3K_8n Yes B20 DQSn74 DQ37 DQSn18/CQn18 DQ9 3K 32 VREFB3KN0 IO LVDS3K_8p Yes A20 DQS74 DQ37 DQS18/CQ18 DQ9 3K 31 VREFB3KN0 IO LVDS3K_9n No D19 DQ74 DQ37 DQ18 DQ9 3K 30 VREFB3KN0 IO LVDS3K_9p No C19 DQ74 DQ37 DQ18 DQ9 3K 29 VREFB3KN0 IO PLL_3K_CLKOUT1n LVDS3K_10n Yes F19 DQSn75 DQSn37/CQn37 DQ18 DQ9 3K 28 VREFB3KN0 IO "PLL_3K_CLKOUT1p,PLL_3K_CLKOUT1,PLL_3K_FB1" LVDS3K_10p Yes E19 DQS75 DQS37/CQ37 DQ18 DQ9 3K 27 VREFB3KN0 IO LVDS3K_11n No A17 DQ75 DQ37 DQ18 DQ9 3K 26 VREFB3KN0 IO RZQ_3K LVDS3K_11p No B17 DQ75 DQ37 DQ18 DQ9 3K 25 VREFB3KN0 IO CLK_3K_1n LVDS3K_12n Yes C18 DQ75 DQ37 DQ18 DQ9 3K 24 VREFB3KN0 IO CLK_3K_1p LVDS3K_12p Yes B18 DQ75 DQ37 DQ18 DQ9 3K 23 VREFB3KN0 IO CLK_3K_0n LVDS3K_13n No D18 DQ76 DQ38 DQ19 DQ9 3K 22 VREFB3KN0 IO CLK_3K_0p LVDS3K_13p No D17 DQ76 DQ38 DQ19 DQ9 3K 21 VREFB3KN0 IO LVDS3K_14n Yes E16 DQSn76 DQ38 DQ19 DQSn9/CQn9 3K 20 VREFB3KN0 IO LVDS3K_14p Yes E17 DQS76 DQ38 DQ19 DQS9/CQ9 3K 19 VREFB3KN0 IO PLL_3K_CLKOUT0n LVDS3K_15n No F17 DQ76 DQ38 DQ19 DQ9 3K 18 VREFB3KN0 IO "PLL_3K_CLKOUT0p,PLL_3K_CLKOUT0,PLL_3K_FB0" LVDS3K_15p No G17 DQ76 DQ38 DQ19 DQ9 3K 17 VREFB3KN0 IO LVDS3K_16n Yes F18 DQSn77 DQSn38/CQn38 DQ19 DQ9 3K 16 VREFB3KN0 IO LVDS3K_16p Yes G18 DQS77 DQS38/CQ38 DQ19 DQ9 3K 15 VREFB3KN0 IO LVDS3K_17n No K19 DQ77 DQ38 DQ19 DQ9 3K 14 VREFB3KN0 IO LVDS3K_17p No L19 DQ77 DQ38 DQ19 DQ9 3K 13 VREFB3KN0 IO LVDS3K_18n Yes H19 DQ77 DQ38 DQ19 DQ9 3K 12 VREFB3KN0 IO LVDS3K_18p Yes J19 DQ77 DQ38 DQ19 DQ9 3K 11 VREFB3KN0 IO LVDS3K_19n No H18 DQ78 DQ39 DQ19 DQ9 3K 10 VREFB3KN0 IO LVDS3K_19p No J18 DQ78 DQ39 DQ19 DQ9 3K 9 VREFB3KN0 IO LVDS3K_20n Yes J17 DQSn78 DQ39 DQSn19/CQn19 DQ9 3K 8 VREFB3KN0 IO LVDS3K_20p Yes K17 DQS78 DQ39 DQS19/CQ19 DQ9 3K 7 VREFB3KN0 IO LVDS3K_21n No L17 DQ78 DQ39 DQ19 DQ9 3K 6 VREFB3KN0 IO LVDS3K_21p No M17 DQ78 DQ39 DQ19 DQ9 3K 5 VREFB3KN0 IO LVDS3K_22n Yes L18 DQSn79 DQSn39/CQn39 DQ19 DQ9 3K 4 VREFB3KN0 IO LVDS3K_22p Yes M18 DQS79 DQS39/CQ39 DQ19 DQ9 3K 3 VREFB3KN0 IO LVDS3K_23n No N18 DQ79 DQ39 DQ19 DQ9 3K 2 VREFB3KN0 IO LVDS3K_23p No P18 DQ79 DQ39 DQ19 DQ9 3K 1 VREFB3KN0 IO LVDS3K_24n Yes P17 DQ79 DQ39 DQ19 DQ9 3K 0 VREFB3KN0 IO LVDS3K_24p Yes R17 DQ79 DQ39 DQ19 DQ9 3J 47 VREFB3JN0 IO LVDS3J_1n No D16 DQ80 DQ40 DQ20 DQ10 3J 46 VREFB3JN0 IO LVDS3J_1p No C16 DQ80 DQ40 DQ20 DQ10 3J 45 VREFB3JN0 IO LVDS3J_2n Yes B16 DQSn80 DQ40 DQ20 DQ10 3J 44 VREFB3JN0 IO LVDS3J_2p Yes B15 DQS80 DQ40 DQ20 DQ10 3J 43 VREFB3JN0 IO LVDS3J_3n No C15 DQ80 DQ40 DQ20 DQ10 3J 42 VREFB3JN0 IO LVDS3J_3p No C14 DQ80 DQ40 DQ20 DQ10 3J 41 VREFB3JN0 IO LVDS3J_4n Yes A15 DQSn81 DQSn40/CQn40 DQ20 DQ10 3J 40 VREFB3JN0 IO LVDS3J_4p Yes A14 DQS81 DQS40/CQ40 DQ20 DQ10 3J 39 VREFB3JN0 IO LVDS3J_5n No F15 DQ81 DQ40 DQ20 DQ10 3J 38 VREFB3JN0 IO LVDS3J_5p No E15 DQ81 DQ40 DQ20 DQ10 3J 37 VREFB3JN0 IO LVDS3J_6n Yes G15 DQ81 DQ40 DQ20 DQ10 3J 36 VREFB3JN0 IO LVDS3J_6p Yes H15 DQ81 DQ40 DQ20 DQ10 3J 35 VREFB3JN0 IO LVDS3J_7n No H16 DQ82 DQ41 DQ20 DQ10 3J 34 VREFB3JN0 IO LVDS3J_7p No G16 DQ82 DQ41 DQ20 DQ10 3J 33 VREFB3JN0 IO LVDS3J_8n Yes K16 DQSn82 DQ41 DQSn20/CQn20 DQ10 3J 32 VREFB3JN0 IO LVDS3J_8p Yes J16 DQS82 DQ41 DQS20/CQ20 DQ10 3J 31 VREFB3JN0 IO LVDS3J_9n No K15 DQ82 DQ41 DQ20 DQ10 3J 30 VREFB3JN0 IO LVDS3J_9p No L15 DQ82 DQ41 DQ20 DQ10 3J 29 VREFB3JN0 IO PLL_3J_CLKOUT1n LVDS3J_10n Yes N15 DQSn83 DQSn41/CQn41 DQ20 DQ10 3J 28 VREFB3JN0 IO "PLL_3J_CLKOUT1p,PLL_3J_CLKOUT1,PLL_3J_FB1" LVDS3J_10p Yes M15 DQS83 DQS41/CQ41 DQ20 DQ10 3J 27 VREFB3JN0 IO LVDS3J_11n No N16 DQ83 DQ41 DQ20 DQ10 3J 26 VREFB3JN0 IO RZQ_3J LVDS3J_11p No M16 DQ83 DQ41 DQ20 DQ10 3J 25 VREFB3JN0 IO CLK_3J_1n LVDS3J_12n Yes R16 DQ83 DQ41 DQ20 DQ10 3J 24 VREFB3JN0 IO CLK_3J_1p LVDS3J_12p Yes P16 DQ83 DQ41 DQ20 DQ10 3J 23 VREFB3JN0 IO CLK_3J_0n LVDS3J_13n No A12 DQ84 DQ42 DQ21 DQ10 3J 22 VREFB3JN0 IO CLK_3J_0p LVDS3J_13p No A13 DQ84 DQ42 DQ21 DQ10 3J 21 VREFB3JN0 IO LVDS3J_14n Yes E14 DQSn84 DQ42 DQ21 DQSn10/CQn10 3J 20 VREFB3JN0 IO LVDS3J_14p Yes D14 DQS84 DQ42 DQ21 DQS10/CQ10 3J 19 VREFB3JN0 IO PLL_3J_CLKOUT0n LVDS3J_15n No C13 DQ84 DQ42 DQ21 DQ10 3J 18 VREFB3JN0 IO "PLL_3J_CLKOUT0p,PLL_3J_CLKOUT0,PLL_3J_FB0" LVDS3J_15p No B13 DQ84 DQ42 DQ21 DQ10 3J 17 VREFB3JN0 IO LVDS3J_16n Yes B11 DQSn85 DQSn42/CQn42 DQ21 DQ10 3J 16 VREFB3JN0 IO LVDS3J_16p Yes B12 DQS85 DQS42/CQ42 DQ21 DQ10 3J 15 VREFB3JN0 IO LVDS3J_17n No E12 DQ85 DQ42 DQ21 DQ10 3J 14 VREFB3JN0 IO LVDS3J_17p No F12 DQ85 DQ42 DQ21 DQ10 3J 13 VREFB3JN0 IO LVDS3J_18n Yes D12 DQ85 DQ42 DQ21 DQ10 3J 12 VREFB3JN0 IO LVDS3J_18p Yes D13 DQ85 DQ42 DQ21 DQ10 3J 11 VREFB3JN0 IO LVDS3J_19n No H14 DQ86 DQ43 DQ21 DQ10 3J 10 VREFB3JN0 IO LVDS3J_19p No J14 DQ86 DQ43 DQ21 DQ10 3J 9 VREFB3JN0 IO LVDS3J_20n Yes F14 DQSn86 DQ43 DQSn21/CQn21 DQ10 3J 8 VREFB3JN0 IO LVDS3J_20p Yes F13 DQS86 DQ43 DQS21/CQ21 DQ10 3J 7 VREFB3JN0 IO LVDS3J_21n No N14 DQ86 DQ43 DQ21 DQ10 3J 6 VREFB3JN0 IO LVDS3J_21p No P14 DQ86 DQ43 DQ21 DQ10 3J 5 VREFB3JN0 IO LVDS3J_22n Yes K14 DQSn87 DQSn43/CQn43 DQ21 DQ10 3J 4 VREFB3JN0 IO LVDS3J_22p Yes L14 DQS87 DQS43/CQ43 DQ21 DQ10 3J 3 VREFB3JN0 IO LVDS3J_23n No R15 DQ87 DQ43 DQ21 DQ10 3J 2 VREFB3JN0 IO LVDS3J_23p No T15 DQ87 DQ43 DQ21 DQ10 3J 1 VREFB3JN0 IO LVDS3J_24n Yes T14 DQ87 DQ43 DQ21 DQ10 3J 0 VREFB3JN0 IO LVDS3J_24p Yes R14 DQ87 DQ43 DQ21 DQ10 3I 47 VREFB3IN0 IO LVDS3I_1n No A10 DQ88 DQ44 DQ22 DQ11 3I 46 VREFB3IN0 IO LVDS3I_1p No A9 DQ88 DQ44 DQ22 DQ11 3I 45 VREFB3IN0 IO LVDS3I_2n Yes B10 DQSn88 DQ44 DQ22 DQ11 3I 44 VREFB3IN0 IO LVDS3I_2p Yes C10 DQS88 DQ44 DQ22 DQ11 3I 43 VREFB3IN0 IO LVDS3I_3n No D11 DQ88 DQ44 DQ22 DQ11 3I 42 VREFB3IN0 IO LVDS3I_3p No C11 DQ88 DQ44 DQ22 DQ11 3I 41 VREFB3IN0 IO LVDS3I_4n Yes E11 DQSn89 DQSn44/CQn44 DQ22 DQ11 3I 40 VREFB3IN0 IO LVDS3I_4p Yes E10 DQS89 DQS44/CQ44 DQ22 DQ11 3I 39 VREFB3IN0 IO LVDS3I_5n No G10 DQ89 DQ44 DQ22 DQ11 3I 38 VREFB3IN0 IO LVDS3I_5p No F10 DQ89 DQ44 DQ22 DQ11 3I 37 VREFB3IN0 IO LVDS3I_6n Yes H11 DQ89 DQ44 DQ22 DQ11 3I 36 VREFB3IN0 IO LVDS3I_6p Yes H10 DQ89 DQ44 DQ22 DQ11 3I 35 VREFB3IN0 IO LVDS3I_7n No G12 DQ90 DQ45 DQ22 DQ11 3I 34 VREFB3IN0 IO LVDS3I_7p No G11 DQ90 DQ45 DQ22 DQ11 3I 33 VREFB3IN0 IO LVDS3I_8n Yes J13 DQSn90 DQ45 DQSn22/CQn22 DQ11 3I 32 VREFB3IN0 IO LVDS3I_8p Yes H13 DQS90 DQ45 DQS22/CQ22 DQ11 3I 31 VREFB3IN0 IO LVDS3I_9n No V13 DQ90 DQ45 DQ22 DQ11 3I 30 VREFB3IN0 IO LVDS3I_9p No V14 DQ90 DQ45 DQ22 DQ11 3I 29 VREFB3IN0 IO PLL_3I_CLKOUT1n LVDS3I_10n Yes M13 DQSn91 DQSn45/CQn45 DQ22 DQ11 3I 28 VREFB3IN0 IO "PLL_3I_CLKOUT1p,PLL_3I_CLKOUT1,PLL_3I_FB1" LVDS3I_10p Yes L13 DQS91 DQS45/CQ45 DQ22 DQ11 3I 27 VREFB3IN0 IO LVDS3I_11n No P13 DQ91 DQ45 DQ22 DQ11 3I 26 VREFB3IN0 IO RZQ_3I LVDS3I_11p No N13 DQ91 DQ45 DQ22 DQ11 3I 25 VREFB3IN0 IO CLK_3I_1n LVDS3I_12n Yes T13 DQ91 DQ45 DQ22 DQ11 3I 24 VREFB3IN0 IO CLK_3I_1p LVDS3I_12p Yes U13 DQ91 DQ45 DQ22 DQ11 3C 23 VREFB3CN0 IO CLK_3C_0n LVDS3C_13n No AU13 DQ108 DQ54 DQ27 DQ13 3C 22 VREFB3CN0 IO CLK_3C_0p LVDS3C_13p No AT13 DQ108 DQ54 DQ27 DQ13 3C 21 VREFB3CN0 IO LVDS3C_14n Yes AT14 DQSn108 DQ54 DQ27 DQSn13/CQn13 3C 20 VREFB3CN0 IO LVDS3C_14p Yes AR14 DQS108 DQ54 DQ27 DQS13/CQ13 3C 19 VREFB3CN0 IO PLL_3C_CLKOUT0n LVDS3C_15n No BB13 DQ108 DQ54 DQ27 DQ13 3C 18 VREFB3CN0 IO "PLL_3C_CLKOUT0p,PLL_3C_CLKOUT0,PLL_3C_FB0" LVDS3C_15p No BA13 DQ108 DQ54 DQ27 DQ13 3C 17 VREFB3CN0 IO LVDS3C_16n Yes AV13 DQSn109 DQSn54/CQn54 DQ27 DQ13 3C 16 VREFB3CN0 IO LVDS3C_16p Yes AW13 DQS109 DQS54/CQ54 DQ27 DQ13 3C 15 VREFB3CN0 IO LVDS3C_17n No BD13 DQ109 DQ54 DQ27 DQ13 3C 14 VREFB3CN0 IO LVDS3C_17p No BC13 DQ109 DQ54 DQ27 DQ13 3C 13 VREFB3CN0 IO LVDS3C_18n Yes BB12 DQ109 DQ54 DQ27 DQ13 3C 12 VREFB3CN0 IO LVDS3C_18p Yes BC11 DQ109 DQ54 DQ27 DQ13 3C 11 VREFB3CN0 IO LVDS3C_19n No BD11 DQ110 DQ55 DQ27 DQ13 3C 10 VREFB3CN0 IO LVDS3C_19p No BE11 DQ110 DQ55 DQ27 DQ13 3C 9 VREFB3CN0 IO LVDS3C_20n Yes BE12 DQSn110 DQ55 DQSn27/CQn27 DQ13 3C 8 VREFB3CN0 IO LVDS3C_20p Yes BD12 DQS110 DQ55 DQS27/CQ27 DQ13 3C 7 VREFB3CN0 IO LVDS3C_21n No BG12 DQ110 DQ55 DQ27 DQ13 3C 6 VREFB3CN0 IO LVDS3C_21p No BF12 DQ110 DQ55 DQ27 DQ13 3C 5 VREFB3CN0 IO LVDS3C_22n Yes BG11 DQSn111 DQSn55/CQn55 DQ27 DQ13 3C 4 VREFB3CN0 IO LVDS3C_22p Yes BH11 DQS111 DQS55/CQ55 DQ27 DQ13 3C 3 VREFB3CN0 IO LVDS3C_23n No BK11 DQ111 DQ55 DQ27 DQ13 3C 2 VREFB3CN0 IO LVDS3C_23p No BJ11 DQ111 DQ55 DQ27 DQ13 3C 1 VREFB3CN0 IO LVDS3C_24n Yes BL12 DQ111 DQ55 DQ27 DQ13 3C 0 VREFB3CN0 IO LVDS3C_24p Yes BK12 DQ111 DQ55 DQ27 DQ13 3B 47 VREFB3BN0 IO LVDS3B_1n No AR15 DQ112 DQ56 DQ28 DQ14 3B 46 VREFB3BN0 IO LVDS3B_1p No AT15 DQ112 DQ56 DQ28 DQ14 3B 45 VREFB3BN0 IO LVDS3B_2n Yes AU15 DQSn112 DQ56 DQ28 DQ14 3B 44 VREFB3BN0 IO LVDS3B_2p Yes AV15 DQS112 DQ56 DQ28 DQ14 3B 43 VREFB3BN0 IO LVDS3B_3n No AV14 DQ112 DQ56 DQ28 DQ14 3B 42 VREFB3BN0 IO LVDS3B_3p No AW14 DQ112 DQ56 DQ28 DQ14 3B 41 VREFB3BN0 IO LVDS3B_4n Yes BA14 DQSn113 DQSn56/CQn56 DQ28 DQ14 3B 40 VREFB3BN0 IO LVDS3B_4p Yes AY14 DQS113 DQS56/CQ56 DQ28 DQ14 3B 39 VREFB3BN0 IO LVDS3B_5n No BA15 DQ113 DQ56 DQ28 DQ14 3B 38 VREFB3BN0 IO LVDS3B_5p No BB15 DQ113 DQ56 DQ28 DQ14 3B 37 VREFB3BN0 IO LVDS3B_6n Yes BC15 DQ113 DQ56 DQ28 DQ14 3B 36 VREFB3BN0 IO LVDS3B_6p Yes BC14 DQ113 DQ56 DQ28 DQ14 3B 35 VREFB3BN0 IO LVDS3B_7n No BD14 DQ114 DQ57 DQ28 DQ14 3B 34 VREFB3BN0 IO LVDS3B_7p No BE14 DQ114 DQ57 DQ28 DQ14 3B 33 VREFB3BN0 IO LVDS3B_8n Yes BF13 DQSn114 DQ57 DQSn28/CQn28 DQ14 3B 32 VREFB3BN0 IO LVDS3B_8p Yes BF14 DQS114 DQ57 DQS28/CQ28 DQ14 3B 31 VREFB3BN0 IO LVDS3B_9n No BG13 DQ114 DQ57 DQ28 DQ14 3B 30 VREFB3BN0 IO LVDS3B_9p No BH13 DQ114 DQ57 DQ28 DQ14 3B 29 VREFB3BN0 IO PLL_3B_CLKOUT1n LVDS3B_10n Yes BJ12 DQSn115 DQSn57/CQn57 DQ28 DQ14 3B 28 VREFB3BN0 IO "PLL_3B_CLKOUT1p,PLL_3B_CLKOUT1,PLL_3B_FB1" LVDS3B_10p Yes BJ13 DQS115 DQS57/CQ57 DQ28 DQ14 3B 27 VREFB3BN0 IO LVDS3B_11n No BK14 DQ115 DQ57 DQ28 DQ14 3B 26 VREFB3BN0 IO RZQ_3B LVDS3B_11p No BJ14 DQ115 DQ57 DQ28 DQ14 3B 25 VREFB3BN0 IO CLK_3B_1n LVDS3B_12n Yes BL13 DQ115 DQ57 DQ28 DQ14 3B 24 VREFB3BN0 IO CLK_3B_1p LVDS3B_12p Yes BL14 DQ115 DQ57 DQ28 DQ14 3B 23 VREFB3BN0 IO CLK_3B_0n LVDS3B_13n No AU16 DQ116 DQ58 DQ29 DQ14 3B 22 VREFB3BN0 IO CLK_3B_0p LVDS3B_13p No AU17 DQ116 DQ58 DQ29 DQ14 3B 21 VREFB3BN0 IO LVDS3B_14n Yes AR17 DQSn116 DQ58 DQ29 DQSn14/CQn14 3B 20 VREFB3BN0 IO LVDS3B_14p Yes AT17 DQS116 DQ58 DQ29 DQS14/CQ14 3B 19 VREFB3BN0 IO PLL_3B_CLKOUT0n LVDS3B_15n No AY17 DQ116 DQ58 DQ29 DQ14 3B 18 VREFB3BN0 IO "PLL_3B_CLKOUT0p,PLL_3B_CLKOUT0,PLL_3B_FB0" LVDS3B_15p No AW17 DQ116 DQ58 DQ29 DQ14 3B 17 VREFB3BN0 IO LVDS3B_16n Yes AV16 DQSn117 DQSn58/CQn58 DQ29 DQ14 3B 16 VREFB3BN0 IO LVDS3B_16p Yes AW16 DQS117 DQS58/CQ58 DQ29 DQ14 3B 15 VREFB3BN0 IO LVDS3B_17n No BC16 DQ117 DQ58 DQ29 DQ14 3B 14 VREFB3BN0 IO LVDS3B_17p No BB16 DQ117 DQ58 DQ29 DQ14 3B 13 VREFB3BN0 IO LVDS3B_18n Yes AY16 DQ117 DQ58 DQ29 DQ14 3B 12 VREFB3BN0 IO LVDS3B_18p Yes AY15 DQ117 DQ58 DQ29 DQ14 3B 11 VREFB3BN0 IO LVDS3B_19n No BE15 DQ118 DQ59 DQ29 DQ14 3B 10 VREFB3BN0 IO LVDS3B_19p No BF15 DQ118 DQ59 DQ29 DQ14 3B 9 VREFB3BN0 IO LVDS3B_20n Yes BA17 DQSn118 DQ59 DQSn29/CQn29 DQ14 3B 8 VREFB3BN0 IO LVDS3B_20p Yes BB17 DQS118 DQ59 DQS29/CQ29 DQ14 3B 7 VREFB3BN0 IO LVDS3B_21n No BG15 DQ118 DQ59 DQ29 DQ14 3B 6 VREFB3BN0 IO LVDS3B_21p No BG16 DQ118 DQ59 DQ29 DQ14 3B 5 VREFB3BN0 IO LVDS3B_22n Yes BD16 DQSn119 DQSn59/CQn59 DQ29 DQ14 3B 4 VREFB3BN0 IO LVDS3B_22p Yes BE16 DQS119 DQS59/CQ59 DQ29 DQ14 3B 3 VREFB3BN0 IO LVDS3B_23n No BJ16 DQ119 DQ59 DQ29 DQ14 3B 2 VREFB3BN0 IO LVDS3B_23p No BH16 DQ119 DQ59 DQ29 DQ14 3B 1 VREFB3BN0 IO LVDS3B_24n Yes BH14 DQ119 DQ59 DQ29 DQ14 3B 0 VREFB3BN0 IO LVDS3B_24p Yes BH15 DQ119 DQ59 DQ29 DQ14 3A 47 VREFB3AN0 IO AVST_DATA0 LVDS3A_1n No AU20 DQ120 DQ60 DQ30 DQ15 3A 46 VREFB3AN0 IO AVST_DATA1 LVDS3A_1p No AV20 DQ120 DQ60 DQ30 DQ15 3A 45 VREFB3AN0 IO AVST_DATA2 LVDS3A_2n Yes AT20 DQSn120 DQ60 DQ30 DQ15 3A 44 VREFB3AN0 IO AVST_DATA3 LVDS3A_2p Yes AR20 DQS120 DQ60 DQ30 DQ15 3A 43 VREFB3AN0 IO AVST_DATA4 LVDS3A_3n No AR19 DQ120 DQ60 DQ30 DQ15 3A 42 VREFB3AN0 IO AVST_DATA5 LVDS3A_3p No AT19 DQ120 DQ60 DQ30 DQ15 3A 41 VREFB3AN0 IO AVST_DATA6 LVDS3A_4n Yes AW19 DQSn121 DQSn60/CQn60 DQ30 DQ15 3A 40 VREFB3AN0 IO AVST_DATA7 LVDS3A_4p Yes AV19 DQS121 DQS60/CQ60 DQ30 DQ15 3A 39 VREFB3AN0 IO AVST_DATA8 LVDS3A_5n No AV18 DQ121 DQ60 DQ30 DQ15 3A 38 VREFB3AN0 IO AVST_DATA9 LVDS3A_5p No AW18 DQ121 DQ60 DQ30 DQ15 3A 37 VREFB3AN0 IO AVST_DATA10 LVDS3A_6n Yes AU18 DQ121 DQ60 DQ30 DQ15 3A 36 VREFB3AN0 IO AVST_DATA11 LVDS3A_6p Yes AT18 DQ121 DQ60 DQ30 DQ15 3A 35 VREFB3AN0 IO AVST_DATA12 LVDS3A_7n No BA20 DQ122 DQ61 DQ30 DQ15 3A 34 VREFB3AN0 IO AVST_DATA13 LVDS3A_7p No AY20 DQ122 DQ61 DQ30 DQ15 3A 33 VREFB3AN0 IO AVST_DATA14 LVDS3A_8n Yes AY19 DQSn122 DQ61 DQSn30/CQn30 DQ15 3A 32 VREFB3AN0 IO AVST_DATA15 LVDS3A_8p Yes BA19 DQS122 DQ61 DQS30/CQ30 DQ15 3A 31 VREFB3AN0 IO AVST_DATA16 LVDS3A_9n No BB18 DQ122 DQ61 DQ30 DQ15 3A 30 VREFB3AN0 IO AVST_DATA17 LVDS3A_9p No BA18 DQ122 DQ61 DQ30 DQ15 3A 29 VREFB3AN0 IO PLL_3A_CLKOUT1n AVST_DATA18 LVDS3A_10n Yes BC18 DQSn123 DQSn61/CQn61 DQ30 DQ15 3A 28 VREFB3AN0 IO "PLL_3A_CLKOUT1p,PLL_3A_CLKOUT1,PLL_3A_FB1" AVST_DATA19 LVDS3A_10p Yes BD18 DQS123 DQS61/CQ61 DQ30 DQ15 3A 27 VREFB3AN0 IO LVDS3A_11n No BC19 DQ123 DQ61 DQ30 DQ15 3A 26 VREFB3AN0 IO RZQ_3A AVST_VALID LVDS3A_11p No BD19 DQ123 DQ61 DQ30 DQ15 3A 25 VREFB3AN0 IO CLK_3A_1n AVST_DATA20 LVDS3A_12n Yes BC20 DQ123 DQ61 DQ30 DQ15 3A 24 VREFB3AN0 IO CLK_3A_1p AVST_DATA21 LVDS3A_12p Yes BB20 DQ123 DQ61 DQ30 DQ15 3A 23 VREFB3AN0 IO CLK_3A_0n AVST_DATA22 LVDS3A_13n No BD17 DQ124 DQ62 DQ31 DQ15 3A 22 VREFB3AN0 IO CLK_3A_0p AVST_DATA23 LVDS3A_13p No BE17 DQ124 DQ62 DQ31 DQ15 3A 21 VREFB3AN0 IO AVST_DATA24 LVDS3A_14n Yes BG17 DQSn124 DQ62 DQ31 DQSn15/CQn15 3A 20 VREFB3AN0 IO AVST_DATA25 LVDS3A_14p Yes BF17 DQS124 DQ62 DQ31 DQS15/CQ15 3A 19 VREFB3AN0 IO PLL_3A_CLKOUT0n AVST_DATA26 LVDS3A_15n No BH18 DQ124 DQ62 DQ31 DQ15 3A 18 VREFB3AN0 IO "PLL_3A_CLKOUT0p,PLL_3A_CLKOUT0,PLL_3A_FB0" AVST_DATA27 LVDS3A_15p No BG18 DQ124 DQ62 DQ31 DQ15 3A 17 VREFB3AN0 IO AVST_DATA28 LVDS3A_16n Yes BJ18 DQSn125 DQSn62/CQn62 DQ31 DQ15 3A 16 VREFB3AN0 IO AVST_DATA29 LVDS3A_16p Yes BJ17 DQS125 DQS62/CQ62 DQ31 DQ15 3A 15 VREFB3AN0 IO AVST_DATA30 LVDS3A_17n No BK16 DQ125 DQ62 DQ31 DQ15 3A 14 VREFB3AN0 IO AVST_DATA31 LVDS3A_17p No BK17 DQ125 DQ62 DQ31 DQ15 3A 13 VREFB3AN0 IO LVDS3A_18n Yes BL15 DQ125 DQ62 DQ31 DQ15 3A 12 VREFB3AN0 IO LVDS3A_18p Yes BK15 DQ125 DQ62 DQ31 DQ15 3A 11 VREFB3AN0 IO LVDS3A_19n No BF18 DQ126 DQ63 DQ31 DQ15 3A 10 VREFB3AN0 IO LVDS3A_19p No BF19 DQ126 DQ63 DQ31 DQ15 3A 9 VREFB3AN0 IO LVDS3A_20n Yes BE19 DQSn126 DQ63 DQSn31/CQn31 DQ15 3A 8 VREFB3AN0 IO LVDS3A_20p Yes BE20 DQS126 DQ63 DQS31/CQ31 DQ15 3A 7 VREFB3AN0 IO LVDS3A_21n No BH19 DQ126 DQ63 DQ31 DQ15 3A 6 VREFB3AN0 IO LVDS3A_21p No BH20 DQ126 DQ63 DQ31 DQ15 3A 5 VREFB3AN0 IO LVDS3A_22n Yes BF20 DQSn127 DQSn63/CQn63 DQ31 DQ15 3A 4 VREFB3AN0 IO LVDS3A_22p Yes BG20 DQS127 DQS63/CQ63 DQ31 DQ15 3A 3 VREFB3AN0 IO LVDS3A_23n No BL17 DQ127 DQ63 DQ31 DQ15 3A 2 VREFB3AN0 IO LVDS3A_23p No BL18 DQ127 DQ63 DQ31 DQ15 3A 1 VREFB3AN0 IO LVDS3A_24n Yes BJ19 DQ127 DQ63 DQ31 DQ15 3A 0 VREFB3AN0 IO AVST_CLK LVDS3A_24p Yes BK19 DQ127 DQ63 DQ31 DQ15 4N REFCLK_GXBR4N_CHTp L9 4N REFCLK_GXBR4N_CHTn L10 4N GXBR4N_TX_CH5n B3 4N GXBR4N_TX_CH5p B2 4N "GXBR4N_RX_CH5n,GXBR4N_REFCLK5n" A6 4N "GXBR4N_RX_CH5p,GXBR4N_REFCLK5p" A5 4N GXBR4N_TX_CH4n Yes D4 4N GXBR4N_TX_CH4p Yes D3 4N "GXBR4N_RX_CH4n,GXBR4N_REFCLK4n" Yes C6 4N "GXBR4N_RX_CH4p,GXBR4N_REFCLK4p" Yes C5 4N GXBR4N_TX_CH3n Yes E2 4N GXBR4N_TX_CH3p Yes E1 4N "GXBR4N_RX_CH3n,GXBR4N_REFCLK3n" Yes D8 4N "GXBR4N_RX_CH3p,GXBR4N_REFCLK3p" Yes D7 4N GXBR4N_TX_CH2n F4 4N GXBR4N_TX_CH2p F3 4N "GXBR4N_RX_CH2n,GXBR4N_REFCLK2n" E6 4N "GXBR4N_RX_CH2p,GXBR4N_REFCLK2p" E5 4N GXBR4N_TX_CH1n Yes G2 4N GXBR4N_TX_CH1p Yes G1 4N "GXBR4N_RX_CH1n,GXBR4N_REFCLK1n" Yes F8 4N "GXBR4N_RX_CH1p,GXBR4N_REFCLK1p" Yes F7 4N GXBR4N_TX_CH0n Yes H4 4N GXBR4N_TX_CH0p Yes H3 4N "GXBR4N_RX_CH0n,GXBR4N_REFCLK0n" Yes G6 4N "GXBR4N_RX_CH0p,GXBR4N_REFCLK0p" Yes G5 4N REFCLK_GXBR4N_CHBp N9 4N REFCLK_GXBR4N_CHBn N10 4M REFCLK_GXBR4M_CHTp R9 4M REFCLK_GXBR4M_CHTn R10 4M GXBR4M_TX_CH5n J2 4M GXBR4M_TX_CH5p J1 4M "GXBR4M_RX_CH5n,GXBR4M_REFCLK5n" H8 4M "GXBR4M_RX_CH5p,GXBR4M_REFCLK5p" H7 4M GXBR4M_TX_CH4n Yes K4 4M GXBR4M_TX_CH4p Yes K3 4M "GXBR4M_RX_CH4n,GXBR4M_REFCLK4n" Yes J6 4M "GXBR4M_RX_CH4p,GXBR4M_REFCLK4p" Yes J5 4M GXBR4M_TX_CH3n Yes L2 4M GXBR4M_TX_CH3p Yes L1 4M "GXBR4M_RX_CH3n,GXBR4M_REFCLK3n" Yes K8 4M "GXBR4M_RX_CH3p,GXBR4M_REFCLK3p" Yes K7 4M GXBR4M_TX_CH2n M4 4M GXBR4M_TX_CH2p M3 4M "GXBR4M_RX_CH2n,GXBR4M_REFCLK2n" L6 4M "GXBR4M_RX_CH2p,GXBR4M_REFCLK2p" L5 4M GXBR4M_TX_CH1n Yes N2 4M GXBR4M_TX_CH1p Yes N1 4M "GXBR4M_RX_CH1n,GXBR4M_REFCLK1n" Yes M8 4M "GXBR4M_RX_CH1p,GXBR4M_REFCLK1p" Yes M7 4M GXBR4M_TX_CH0n Yes P4 4M GXBR4M_TX_CH0p Yes P3 4M "GXBR4M_RX_CH0n,GXBR4M_REFCLK0n" Yes N6 4M "GXBR4M_RX_CH0p,GXBR4M_REFCLK0p" Yes N5 4M REFCLK_GXBR4M_CHBp U9 4M REFCLK_GXBR4M_CHBn U10 4L REFCLK_GXBR4L_CHTp W9 4L REFCLK_GXBR4L_CHTn W10 4L GXBR4L_TX_CH5n R2 4L GXBR4L_TX_CH5p R1 4L "GXBR4L_RX_CH5n,GXBR4L_REFCLK5n" P8 4L "GXBR4L_RX_CH5p,GXBR4L_REFCLK5p" P7 4L GXBR4L_TX_CH4n Yes T4 4L GXBR4L_TX_CH4p Yes T3 4L "GXBR4L_RX_CH4n,GXBR4L_REFCLK4n" Yes R6 4L "GXBR4L_RX_CH4p,GXBR4L_REFCLK4p" Yes R5 4L GXBR4L_TX_CH3n Yes U2 4L GXBR4L_TX_CH3p Yes U1 4L "GXBR4L_RX_CH3n,GXBR4L_REFCLK3n" Yes T8 4L "GXBR4L_RX_CH3p,GXBR4L_REFCLK3p" Yes T7 4L GXBR4L_TX_CH2n V4 4L GXBR4L_TX_CH2p V3 4L "GXBR4L_RX_CH2n,GXBR4L_REFCLK2n" U6 4L "GXBR4L_RX_CH2p,GXBR4L_REFCLK2p" U5 4L GXBR4L_TX_CH1n Yes W2 4L GXBR4L_TX_CH1p Yes W1 4L "GXBR4L_RX_CH1n,GXBR4L_REFCLK1n" Yes V8 4L "GXBR4L_RX_CH1p,GXBR4L_REFCLK1p" Yes V7 4L GXBR4L_TX_CH0n Yes Y4 4L GXBR4L_TX_CH0p Yes Y3 4L "GXBR4L_RX_CH0n,GXBR4L_REFCLK0n" Yes W6 4L "GXBR4L_RX_CH0p,GXBR4L_REFCLK0p" Yes W5 4L REFCLK_GXBR4L_CHBp AA9 4L REFCLK_GXBR4L_CHBn AA10 4K REFCLK_GXBR4K_CHTp AC9 4K REFCLK_GXBR4K_CHTn AC10 4K GXBR4K_TX_CH5n AA2 4K GXBR4K_TX_CH5p AA1 4K "GXBR4K_RX_CH5n,GXBR4K_REFCLK5n" Y8 4K "GXBR4K_RX_CH5p,GXBR4K_REFCLK5p" Y7 4K GXBR4K_TX_CH4n Yes AB4 4K GXBR4K_TX_CH4p Yes AB3 4K "GXBR4K_RX_CH4n,GXBR4K_REFCLK4n" Yes AA6 4K "GXBR4K_RX_CH4p,GXBR4K_REFCLK4p" Yes AA5 4K GXBR4K_TX_CH3n Yes AC2 4K GXBR4K_TX_CH3p Yes AC1 4K "GXBR4K_RX_CH3n,GXBR4K_REFCLK3n" Yes AB8 4K "GXBR4K_RX_CH3p,GXBR4K_REFCLK3p" Yes AB7 4K GXBR4K_TX_CH2n AD4 4K GXBR4K_TX_CH2p AD3 4K "GXBR4K_RX_CH2n,GXBR4K_REFCLK2n" AC6 4K "GXBR4K_RX_CH2p,GXBR4K_REFCLK2p" AC5 4K GXBR4K_TX_CH1n Yes AE2 4K GXBR4K_TX_CH1p Yes AE1 4K "GXBR4K_RX_CH1n,GXBR4K_REFCLK1n" Yes AD8 4K "GXBR4K_RX_CH1p,GXBR4K_REFCLK1p" Yes AD7 4K GXBR4K_TX_CH0n Yes AF4 4K GXBR4K_TX_CH0p Yes AF3 4K "GXBR4K_RX_CH0n,GXBR4K_REFCLK0n" Yes AE6 4K "GXBR4K_RX_CH0p,GXBR4K_REFCLK0p" Yes AE5 4K REFCLK_GXBR4K_CHBp AE9 4K REFCLK_GXBR4K_CHBn AE10 4F REFCLK_GXBR4F_CHTp AG9 4F REFCLK_GXBR4F_CHTn AG10 4F GXBR4F_TX_CH5n AG2 4F GXBR4F_TX_CH5p AG1 4F "GXBR4F_RX_CH5n,GXBR4F_REFCLK5n" AF8 4F "GXBR4F_RX_CH5p,GXBR4F_REFCLK5p" AF7 4F GXBR4F_TX_CH4n Yes AH4 4F GXBR4F_TX_CH4p Yes AH3 4F "GXBR4F_RX_CH4n,GXBR4F_REFCLK4n" Yes AG6 4F "GXBR4F_RX_CH4p,GXBR4F_REFCLK4p" Yes AG5 4F GXBR4F_TX_CH3n Yes AJ2 4F GXBR4F_TX_CH3p Yes AJ1 4F "GXBR4F_RX_CH3n,GXBR4F_REFCLK3n" Yes AH8 4F "GXBR4F_RX_CH3p,GXBR4F_REFCLK3p" Yes AH7 4F GXBR4F_TX_CH2n AK4 4F GXBR4F_TX_CH2p AK3 4F "GXBR4F_RX_CH2n,GXBR4F_REFCLK2n" AJ6 4F "GXBR4F_RX_CH2p,GXBR4F_REFCLK2p" AJ5 4F GXBR4F_TX_CH1n Yes AL2 4F GXBR4F_TX_CH1p Yes AL1 4F "GXBR4F_RX_CH1n,GXBR4F_REFCLK1n" Yes AK8 4F "GXBR4F_RX_CH1p,GXBR4F_REFCLK1p" Yes AK7 4F GXBR4F_TX_CH0n Yes AM4 4F GXBR4F_TX_CH0p Yes AM3 4F "GXBR4F_RX_CH0n,GXBR4F_REFCLK0n" Yes AL6 4F "GXBR4F_RX_CH0p,GXBR4F_REFCLK0p" Yes AL5 4F REFCLK_GXBR4F_CHBp AJ9 4F REFCLK_GXBR4F_CHBn AJ10 4E REFCLK_GXBR4E_CHTp AL9 4E REFCLK_GXBR4E_CHTn AL10 4E GXBR4E_TX_CH5n AN2 4E GXBR4E_TX_CH5p AN1 4E "GXBR4E_RX_CH5n,GXBR4E_REFCLK5n" AM8 4E "GXBR4E_RX_CH5p,GXBR4E_REFCLK5p" AM7 4E GXBR4E_TX_CH4n Yes AP4 4E GXBR4E_TX_CH4p Yes AP3 4E "GXBR4E_RX_CH4n,GXBR4E_REFCLK4n" Yes AN6 4E "GXBR4E_RX_CH4p,GXBR4E_REFCLK4p" Yes AN5 4E GXBR4E_TX_CH3n Yes AR2 4E GXBR4E_TX_CH3p Yes AR1 4E "GXBR4E_RX_CH3n,GXBR4E_REFCLK3n" Yes AP8 4E "GXBR4E_RX_CH3p,GXBR4E_REFCLK3p" Yes AP7 4E GXBR4E_TX_CH2n AT4 4E GXBR4E_TX_CH2p AT3 4E "GXBR4E_RX_CH2n,GXBR4E_REFCLK2n" AR6 4E "GXBR4E_RX_CH2p,GXBR4E_REFCLK2p" AR5 4E GXBR4E_TX_CH1n Yes AU2 4E GXBR4E_TX_CH1p Yes AU1 4E "GXBR4E_RX_CH1n,GXBR4E_REFCLK1n" Yes AT8 4E "GXBR4E_RX_CH1p,GXBR4E_REFCLK1p" Yes AT7 4E GXBR4E_TX_CH0n Yes AV4 4E GXBR4E_TX_CH0p Yes AV3 4E "GXBR4E_RX_CH0n,GXBR4E_REFCLK0n" Yes AU6 4E "GXBR4E_RX_CH0p,GXBR4E_REFCLK0p" Yes AU5 4E REFCLK_GXBR4E_CHBp AN9 4E REFCLK_GXBR4E_CHBn AN10 4D REFCLK_GXBR4D_CHTp AR9 4D REFCLK_GXBR4D_CHTn AR10 4D GXBR4D_TX_CH5n AW2 4D GXBR4D_TX_CH5p AW1 4D "GXBR4D_RX_CH5n,GXBR4D_REFCLK5n" AV8 4D "GXBR4D_RX_CH5p,GXBR4D_REFCLK5p" AV7 4D GXBR4D_TX_CH4n Yes AY4 4D GXBR4D_TX_CH4p Yes AY3 4D "GXBR4D_RX_CH4n,GXBR4D_REFCLK4n" Yes AW6 4D "GXBR4D_RX_CH4p,GXBR4D_REFCLK4p" Yes AW5 4D GXBR4D_TX_CH3n Yes BA2 4D GXBR4D_TX_CH3p Yes BA1 4D "GXBR4D_RX_CH3n,GXBR4D_REFCLK3n" Yes AY8 4D "GXBR4D_RX_CH3p,GXBR4D_REFCLK3p" Yes AY7 4D GXBR4D_TX_CH2n BB4 4D GXBR4D_TX_CH2p BB3 4D "GXBR4D_RX_CH2n,GXBR4D_REFCLK2n" BA6 4D "GXBR4D_RX_CH2p,GXBR4D_REFCLK2p" BA5 4D GXBR4D_TX_CH1n Yes BC2 4D GXBR4D_TX_CH1p Yes BC1 4D "GXBR4D_RX_CH1n,GXBR4D_REFCLK1n" Yes BC6 4D "GXBR4D_RX_CH1p,GXBR4D_REFCLK1p" Yes BC5 4D GXBR4D_TX_CH0n Yes BD4 4D GXBR4D_TX_CH0p Yes BD3 4D "GXBR4D_RX_CH0n,GXBR4D_REFCLK0n" Yes BB8 4D "GXBR4D_RX_CH0p,GXBR4D_REFCLK0p" Yes BB7 4D REFCLK_GXBR4D_CHBp AU9 4D REFCLK_GXBR4D_CHBn AU10 4C REFCLK_GXBR4C_CHTp AW9 4C REFCLK_GXBR4C_CHTn AW10 4C GXBR4C_TX_CH5n BE2 4C GXBR4C_TX_CH5p BE1 4C "GXBR4C_RX_CH5n,GXBR4C_REFCLK5n" BD8 4C "GXBR4C_RX_CH5p,GXBR4C_REFCLK5p" BD7 4C GXBR4C_TX_CH4n Yes BF4 4C GXBR4C_TX_CH4p Yes BF3 4C "GXBR4C_RX_CH4n,GXBR4C_REFCLK4n" Yes BE6 4C "GXBR4C_RX_CH4p,GXBR4C_REFCLK4p" Yes BE5 4C GXBR4C_TX_CH3n Yes BG2 4C GXBR4C_TX_CH3p Yes BG1 4C "GXBR4C_RX_CH3n,GXBR4C_REFCLK3n" Yes BF8 4C "GXBR4C_RX_CH3p,GXBR4C_REFCLK3p" Yes BF7 4C GXBR4C_TX_CH2n BH4 4C GXBR4C_TX_CH2p BH3 4C "GXBR4C_RX_CH2n,GXBR4C_REFCLK2n" BG6 4C "GXBR4C_RX_CH2p,GXBR4C_REFCLK2p" BG5 4C GXBR4C_TX_CH1n Yes BK4 4C GXBR4C_TX_CH1p Yes BK3 4C "GXBR4C_RX_CH1n,GXBR4C_REFCLK1n" Yes BJ6 4C "GXBR4C_RX_CH1p,GXBR4C_REFCLK1p" Yes BJ5 4C GXBR4C_TX_CH0n Yes BL6 4C GXBR4C_TX_CH0p Yes BL5 4C "GXBR4C_RX_CH0n,GXBR4C_REFCLK0n" Yes BH8 4C "GXBR4C_RX_CH0p,GXBR4C_REFCLK0p" Yes BH7 4C REFCLK_GXBR4C_CHBp BA9 4C REFCLK_GXBR4C_CHBn BA10 ESRAM0 CLK_eSRAM_0n AU32 ESRAM0 CLK_eSRAM_0p AU31 ESRAM0 RREF_eSRAM_0 AU35 ESRAM1 CLK_eSRAM_1n U31 ESRAM1 CLK_eSRAM_1p V31 ESRAM1 RREF_eSRAM_1 V34 UIB00 UIB_PLL_REF_CLK_00_n AP26 UIB00 UIB_PLL_REF_CLK_00_p AR26 UIB00 UIB_RREF_00 AW23 UIB01 UIB_PLL_REF_CLK_01_n R27 UIB01 UIB_PLL_REF_CLK_01_p P27 UIB01 UIB_RREF_01 N24 SDM TDO AR36 SDM TMS BJ41 SDM TCK BE42 SDM TDI AU37 SDM OSC_CLK_1 AR35 SDM SDM_IO0 "INIT_DONE,PWRMGT_SCL" BK41 SDM SDM_IO1 "AVSTx8_DATA2,AS_DATA1" BG42 SDM SDM_IO5 "INIT_DONE,AS_nCSO0,MSEL0,CONF_DONE" AR37 SDM SDM_IO3 "AVSTx8_DATA3,AS_DATA2" BF42 SDM nCONFIG BG41 SDM SDM_IO4 "AVSTx8_DATA1,AS_DATA0" BH41 SDM SDM_IO2 "AVSTx8_DATA0,AS_CLK" AT37 SDM SDM_IO7 "AS_nCSO2,MSEL1" AV38 SDM SDM_IO11 "AVSTx8_VALID,PWRMGT_SDA" AW38 SDM nSTATUS AV39 SDM SDM_IO16 "INIT_DONE,CONF_DONE,PWRMGT_SDA" AY39 SDM SDM_IO13 AVSTx8_DATA5 AW39 SDM SDM_IO9 "AS_nCSO1,MSEL2" BA39 SDM SDM_IO6 "AVSTx8_DATA4,AS_DATA3" BC40 SDM SDM_IO10 AVSTx8_DATA7 BB40 SDM SDM_IO8 "AVST_READY,AS_nCSO3" BE41 SDM SDM_IO12 PWRMGT_SDA BD42 SDM SDM_IO15 AVSTx8_DATA6 BC42 SDM SDM_IO14 "AVSTx8_CLK,PWRMGT_SCL" BA38 SDM RREF_SDM AR32 SDM VSIGP_0 BL44 SDM VSIGN_0 BK44 SDM VSIGP_1 BJ42 SDM VSIGN_1 BK42 6A IO3V0_10 nPERSTL0 AH39 6A IO3V1_10 AK39 6A IO3V2_10 AJ39 6A IO3V3_10 AM38 6A IO3V4_10 AL38 6A IO3V5_10 AL39 6A IO3V6_10 AN39 6A IO3V7_10 AP39 6C IO3V0_12 nPERSTL2 Y39 6C IO3V1_12 AC38 6C IO3V2_12 AC39 6C IO3V3_12 AD38 6C IO3V4_12 AA39 6C IO3V5_12 AA38 6C IO3V6_12 AB38 6C IO3V7_12 AD39 7A IO3V0_20 nPERSTR0 BL10 7A IO3V1_20 BK10 7A IO3V2_20 BH10 7A IO3V3_20 BG10 7A IO3V4_20 BF10 7A IO3V5_20 BE10 7A IO3V6_20 BD10 7A IO3V7_20 BC10 7C IO3V0_22 nPERSTR2 AG13 7C IO3V1_22 AH13 7C IO3V2_22 AJ13 7C IO3V3_22 AL13 7C IO3V4_22 AM13 7C IO3V5_22 AN13 7C IO3V6_22 AP13 7C IO3V7_22 AF13 GND BL43 GND BL42 GND AT34 GND U33 GND Y6 GND Y51 GND Y50 GND Y5 GND Y47 GND Y46 GND Y40 GND Y38 GND Y33 GND Y28 GND Y23 GND Y2 GND Y18 GND Y12 GND Y1 GND W8 GND W7 GND W49 GND W48 GND W45 GND W44 GND W41 GND W40 GND W4 GND W35 GND W30 GND W3 GND W25 GND W20 GND W15 GND W12 GND W11 GND V6 GND V51 GND V50 GND V5 GND V47 GND V46 GND V40 GND V37 GND V32 GND V27 GND V22 GND V2 GND V17 GND V12 GND V1 GND U8 GND U7 GND U49 GND U48 GND U45 GND U44 GND U41 GND U40 GND U4 GND U34 GND U3 GND U29 GND U24 GND U19 GND U14 GND U12 GND U11 GND T6 GND T51 GND T50 GND T5 GND T47 GND T46 GND T40 GND T36 GND T31 GND T26 GND T21 GND T2 GND T12 GND T1 GND R8 GND R7 GND R49 GND R48 GND R45 GND R44 GND R41 GND R40 GND R4 GND R3 GND R28 GND R23 GND R18 GND R13 GND R12 GND R11 GND P6 GND P51 GND P50 GND P5 GND P47 GND P46 GND P40 GND P35 GND P25 GND P20 GND P2 GND P12 GND P1 GND N8 GND N7 GND N49 GND N48 GND N45 GND N44 GND N41 GND N40 GND N4 GND N37 GND N3 GND N27 GND N22 GND N12 GND N11 GND M6 GND M51 GND M50 GND M5 GND M47 GND M46 GND M40 GND M34 GND M24 GND M2 GND M14 GND M12 GND M1 GND L8 GND L7 GND L49 GND L48 GND L45 GND L44 GND L41 GND L40 GND L4 GND L31 GND L3 GND L26 GND L21 GND L12 GND L11 GND K6 GND K51 GND K50 GND K5 GND K47 GND K46 GND K40 GND K38 GND K28 GND K2 GND K13 GND K12 GND K1 GND J9 GND J8 GND J7 GND J49 GND J48 GND J45 GND J44 GND J43 GND J42 GND J41 GND J40 GND J4 GND J3 GND J20 GND J15 GND J12 GND J11 GND J10 GND H9 GND H6 GND H51 GND H50 GND H5 GND H47 GND H46 GND H43 GND H32 GND H27 GND H22 GND H2 GND H17 GND H12 GND H1 GND G9 GND G8 GND G7 GND G49 GND G48 GND G45 GND G44 GND G43 GND G4 GND G39 GND G34 GND G3 GND G29 GND G19 GND G14 GND G13 GND F9 GND F6 GND F51 GND F50 GND F5 GND F47 GND F46 GND F43 GND F41 GND F36 GND F31 GND F26 GND F21 GND F2 GND F16 GND F11 GND F1 GND E9 GND E8 GND E7 GND E50 GND E49 GND E48 GND E45 GND E44 GND E43 GND E4 GND E38 GND E33 GND E3 GND E28 GND E23 GND E18 GND E13 GND D9 GND D6 GND D50 GND D5 GND D47 GND D46 GND D43 GND D40 GND D35 GND D30 GND D25 GND D20 GND D2 GND D15 GND D10 GND D1 GND C9 GND C8 GND C7 GND C51 GND C49 GND C48 GND C45 GND C44 GND C43 GND C4 GND C37 GND C32 GND C3 GND C27 GND C22 GND C2 GND C17 GND C12 GND C1 GND BL9 GND BL7 GND BL50 GND BL49 GND BL48 GND BL45 GND BL41 GND BL4 GND BL36 GND BL31 GND BL3 GND BL26 GND BL21 GND BL2 GND BL16 GND BL11 GND BK8 GND BK7 GND BK6 GND BK51 GND BK50 GND BK5 GND BK47 GND BK46 GND BK45 GND BK43 GND BK38 GND BK33 GND BK28 GND BK23 GND BK2 GND BK18 GND BK13 GND BK1 GND BJ9 GND BJ8 GND BJ7 GND BJ51 GND BJ50 GND BJ49 GND BJ48 GND BJ45 GND BJ44 GND BJ43 GND BJ40 GND BJ4 GND BJ35 GND BJ30 GND BJ3 GND BJ25 GND BJ20 GND BJ2 GND BJ15 GND BJ10 GND BJ1 GND BH9 GND BH6 GND BH51 GND BH50 GND BH5 GND BH47 GND BH46 GND BH43 GND BH42 GND BH37 GND BH32 GND BH27 GND BH22 GND BH2 GND BH17 GND BH12 GND BH1 GND BG9 GND BG8 GND BG7 GND BG49 GND BG48 GND BG45 GND BG44 GND BG43 GND BG4 GND BG39 GND BG34 GND BG3 GND BG29 GND BG24 GND BG19 GND BG14 GND BF9 GND BF6 GND BF51 GND BF50 GND BF5 GND BF47 GND BF46 GND BF43 GND BF41 GND BF36 GND BF31 GND BF26 GND BF21 GND BF2 GND BF16 GND BF11 GND BF1 GND BE9 GND BE8 GND BE7 GND BE49 GND BE48 GND BE45 GND BE44 GND BE43 GND BE4 GND BE38 GND BE33 GND BE3 GND BE28 GND BE23 GND BE18 GND BE13 GND BD9 GND BD6 GND BD51 GND BD50 GND BD5 GND BD47 GND BD46 GND BD43 GND BD40 GND BD35 GND BD25 GND BD20 GND BD2 GND BD15 GND BD1 GND BC9 GND BC8 GND BC7 GND BC49 GND BC48 GND BC45 GND BC44 GND BC43 GND BC4 GND BC37 GND BC3 GND BC22 GND BC17 GND BC12 GND BB9 GND BB6 GND BB51 GND BB50 GND BB5 GND BB47 GND BB46 GND BB43 GND BB42 GND BB41 GND BB39 GND BB38 GND BB34 GND BB24 GND BB2 GND BB14 GND BB10 GND BB1 GND BA8 GND BA7 GND BA49 GND BA48 GND BA45 GND BA44 GND BA41 GND BA40 GND BA4 GND BA31 GND BA3 GND BA21 GND BA11 GND B9 GND B7 GND B6 GND B51 GND B5 GND B49 GND B47 GND B46 GND B45 GND B43 GND B4 GND B39 GND B34 GND B29 GND B24 GND B19 GND B14 GND B1 GND AY6 GND AY51 GND AY50 GND AY5 GND AY47 GND AY46 GND AY40 GND AY23 GND AY2 GND AY18 GND AY13 GND AY12 GND AY1 GND AW8 GND AW7 GND AW49 GND AW48 GND AW45 GND AW44 GND AW41 GND AW40 GND AW4 GND AW35 GND AW30 GND AW3 GND AW25 GND AW12 GND AW11 GND AV6 GND AV51 GND AV50 GND AV5 GND AV47 GND AV46 GND AV40 GND AV22 GND AV2 GND AV17 GND AV12 GND AV1 GND AU8 GND AU7 GND AU49 GND AU48 GND AU45 GND AU44 GND AU41 GND AU40 GND AU4 GND AU39 GND AU34 GND AU3 GND AU29 GND AU24 GND AU12 GND AU11 GND AT6 GND AT51 GND AT50 GND AT5 GND AT47 GND AT46 GND AT40 GND AT36 GND AT31 GND AT26 GND AT21 GND AT2 GND AT12 GND AT1 GND AR8 GND AR7 GND AR49 GND AR48 GND AR45 GND AR44 GND AR41 GND AR40 GND AR4 GND AR38 GND AR33 GND AR3 GND AR28 GND AR23 GND AR18 GND AR12 GND AR11 GND AP6 GND AP51 GND AP50 GND AP5 GND AP49 GND AP48 GND AP47 GND AP46 GND AP40 GND AP35 GND AP30 GND AP25 GND AP20 GND AP2 GND AP15 GND AP12 GND AP1 GND AN8 GND AN7 GND AN49 GND AN48 GND AN47 GND AN46 GND AN45 GND AN44 GND AN41 GND AN40 GND AN4 GND AN37 GND AN32 GND AN3 GND AN27 GND AN22 GND AN17 GND AN12 GND AN11 GND AM6 GND AM51 GND AM50 GND AM5 GND AM47 GND AM46 GND AM40 GND AM39 GND AM34 GND AM29 GND AM24 GND AM2 GND AM19 GND AM14 GND AM12 GND AM1 GND AL8 GND AL7 GND AL49 GND AL48 GND AL45 GND AL44 GND AL41 GND AL40 GND AL4 GND AL36 GND AL31 GND AL3 GND AL26 GND AL21 GND AL16 GND AL12 GND AL11 GND AK6 GND AK51 GND AK50 GND AK5 GND AK47 GND AK46 GND AK40 GND AK38 GND AK33 GND AK28 GND AK23 GND AK2 GND AK18 GND AK13 GND AK12 GND AK1 GND AJ8 GND AJ7 GND AJ49 GND AJ48 GND AJ45 GND AJ44 GND AJ41 GND AJ40 GND AJ4 GND AJ35 GND AJ30 GND AJ3 GND AJ25 GND AJ20 GND AJ15 GND AJ11 GND AH6 GND AH51 GND AH50 GND AH5 GND AH47 GND AH46 GND AH40 GND AH37 GND AH32 GND AH27 GND AH22 GND AH2 GND AH17 GND AH12 GND AH1 GND AG8 GND AG7 GND AG49 GND AG48 GND AG45 GND AG44 GND AG41 GND AG40 GND AG4 GND AG39 GND AG34 GND AG3 GND AG29 GND AG24 GND AG19 GND AG14 GND AG11 GND AF6 GND AF51 GND AF50 GND AF5 GND AF47 GND AF46 GND AF40 GND AF36 GND AF31 GND AF26 GND AF25 GND AF21 GND AF2 GND AF16 GND AF12 GND AF1 GND AE8 GND AE7 GND AE49 GND AE48 GND AE45 GND AE44 GND AE41 GND AE40 GND AE4 GND AE38 GND AE33 GND AE3 GND AE28 GND AE23 GND AE18 GND AE13 GND AE12 GND AE11 GND AD6 GND AD51 GND AD50 GND AD5 GND AD47 GND AD46 GND AD40 GND AD35 GND AD30 GND AD25 GND AD20 GND AD2 GND AD15 GND AD12 GND AD1 GND AC8 GND AC7 GND AC49 GND AC48 GND AC45 GND AC44 GND AC41 GND AC4 GND AC37 GND AC32 GND AC3 GND AC27 GND AC22 GND AC17 GND AC13 GND AC12 GND AC11 GND AB6 GND AB51 GND AB50 GND AB5 GND AB47 GND AB46 GND AB40 GND AB39 GND AB34 GND AB29 GND AB24 GND AB2 GND AB19 GND AB14 GND AB13 GND AB12 GND AB1 GND AA8 GND AA7 GND AA49 GND AA48 GND AA45 GND AA44 GND AA41 GND AA4 GND AA36 GND AA31 GND AA3 GND AA26 GND AA21 GND AA16 GND AA12 GND AA11 GND A7 GND A50 GND A49 GND A45 GND A43 GND A41 GND A4 GND A36 GND A31 GND A3 GND A26 GND A21 GND A2 GND A16 GND A11 GNDSENSE AF28 VCC Y35 VCC Y34 VCC Y31 VCC Y30 VCC Y29 VCC Y27 VCC Y26 VCC Y25 VCC Y24 VCC Y22 VCC Y21 VCC Y20 VCC Y19 VCC Y17 VCC W34 VCC W33 VCC W31 VCC W29 VCC W28 VCC W27 VCC W26 VCC W24 VCC W22 VCC W21 VCC W19 VCC W18 VCC W17 VCC V25 VCC V24 VCC V23 VCC V21 VCC V20 VCC V19 VCC AP24 VCC AP23 VCC AP22 VCC AP21 VCC AN35 VCC AN34 VCC AN33 VCC AN31 VCC AN30 VCC AN29 VCC AN28 VCC AN26 VCC AN25 VCC AN24 VCC AN21 VCC AN20 VCC AN19 VCC AN18 VCC AM35 VCC AM33 VCC AM31 VCC AM30 VCC AM28 VCC AM27 VCC AM26 VCC AM25 VCC AM22 VCC AM21 VCC AM20 VCC AM18 VCC AM17 VCC AL35 VCC AL34 VCC AL33 VCC AL30 VCC AL29 VCC AL28 VCC AL27 VCC AL25 VCC AL24 VCC AL22 VCC AL20 VCC AL19 VCC AL18 VCC AL17 VCC AK36 VCC AK35 VCC AK34 VCC AK31 VCC AK30 VCC AK29 VCC AK27 VCC AK26 VCC AK25 VCC AK24 VCC AK22 VCC AK21 VCC AK20 VCC AK19 VCC AK17 VCC AJ36 VCC AJ34 VCC AJ33 VCC AJ31 VCC AJ29 VCC AJ28 VCC AJ27 VCC AJ26 VCC AJ24 VCC AJ23 VCC AJ22 VCC AJ21 VCC AJ19 VCC AJ18 VCC AJ17 VCC AJ16 VCC AH36 VCC AH35 VCC AH34 VCC AH33 VCC AH31 VCC AH30 VCC AH29 VCC AH28 VCC AH26 VCC AH25 VCC AH24 VCC AH23 VCC AH21 VCC AH20 VCC AH19 VCC AH18 VCC AH16 VCC AG36 VCC AG35 VCC AG33 VCC AG20 VCC AG18 VCC AG17 VCC AG16 VCC AF35 VCC AF34 VCC AF33 VCC AF20 VCC AF19 VCC AF18 VCC AF17 VCC AE36 VCC AE35 VCC AE34 VCC AE20 VCC AE19 VCC AE17 VCC AE16 VCC AD36 VCC AD34 VCC AD33 VCC AD32 VCC AD31 VCC AD29 VCC AD28 VCC AD27 VCC AD26 VCC AD24 VCC AD23 VCC AD22 VCC AD21 VCC AD19 VCC AD18 VCC AD17 VCC AD16 VCC AC36 VCC AC35 VCC AC34 VCC AC33 VCC AC31 VCC AC30 VCC AC29 VCC AC28 VCC AC26 VCC AC25 VCC AC24 VCC AC21 VCC AC20 VCC AC19 VCC AC18 VCC AC16 VCC AB36 VCC AB35 VCC AB33 VCC AB31 VCC AB30 VCC AB28 VCC AB27 VCC AB26 VCC AB25 VCC AB22 VCC AB21 VCC AB20 VCC AB18 VCC AB17 VCC AB16 VCC AA35 VCC AA34 VCC AA33 VCC AA30 VCC AA29 VCC AA28 VCC AA27 VCC AA25 VCC AA24 VCC AA22 VCC AA20 VCC AA19 VCC AA18 VCC AA17 VCCPT AG32 VCCPT AG31 VCCPT AG30 VCCPT AG28 VCCPT AG27 VCCPT AG26 VCCPT AG25 VCCPT AG23 VCCPT AG22 VCCPT AG21 VCCPT AF32 VCCPT AF22 VCCPT AE32 VCCPT AE31 VCCPT AE30 VCCPT AE29 VCCPT AE27 VCCPT AE26 VCCPT AE25 VCCPT AE24 VCCPT AE22 VCCPT AE21 DNU AU33 DNU AT30 DNU AU36 DNU AU30 DNU T28 DNU V35 DNU U35 DNU T29 DNU V36 DNU U30 DNU AT27 DNU AT28 DNU AR27 DNU AT29 DNU T27 DNU U27 DNU U28 DNU V28 DNU AN38 DNU AP38 DNU B8 DNU A8 DNU AR34 DNU AT35 DNU AP33 DNU AT32 DNU BB25 DNU BA25 DNU AU25 DNU AT25 DNU BL20 DNU BL19 DNU BK21 DNU BK20 DNU AT24 DNU BJ21 DNU BG22 DNU BH21 DNU BG21 DNU BD24 DNU AR24 DNU BF22 DNU BC24 DNU BD23 DNU BE22 DNU BD22 DNU BC23 DNU AU27 DNU BB23 DNU AT23 DNU BE21 DNU BB22 DNU BD21 DNU AT22 DNU AU28 DNU AU21 DNU BC21 DNU BB21 DNU AK16 DNU AN16 DNU AM16 DNU AP17 DNU AP16 DNU AU26 DNU BC25 DNU U17 DNU T18 DNU U18 DNU U20 DNU T20 DNU T19 DNU R20 DNU R21 DNU R22 DNU T22 DNU U23 DNU T23 DNU K24 DNU K25 DNU T24 DNU L25 DNU T25 DNU K26 DNU R26 DNU U26 DNU A27 DNU U16 DNU K27 DNU D27 DNU M27 DNU D28 DNU F28 DNU L27 DNU W16 DNU G28 DNU N28 DNU H28 DNU J28 DNU L28 DNU J27 DNU M28 DNU P28 DNU V16 DNU Y16 DNU AU38 DNU AG38 DNU BK9 DNU AE14 DNU AE39 DNU AC14 DNU AF38 DNU AD14 TEMPDIODE0n BC41 TEMPDIODE0p BD41 TEMPDIODE1n AT38 TEMPDIODE1p AR39 TEMPDIODE3n AC40 TEMPDIODE3p AA40 TEMPDIODE4n BA12 TEMPDIODE4p BB11 TEMPDIODE6n AJ12 TEMPDIODE6p AG12 VCCBAT AP31 VCCA_PLL AF30 VCCA_PLL AF29 VCCA_PLL AF24 VCCA_PLL AF23 VCCIO2A BA36 VCCIO2A AY38 VCCIO2A AV37 VCCIO2B BC32 VCCIO2B AY33 VCCIO2B AV32 VCCIO2C BD30 VCCIO2C BB29 VCCIO2C AY28 VCCIO2F BC27 VCCIO2F BA26 VCCIO2F AV27 VCCIO2K P30 VCCIO2K M29 VCCIO2K J30 VCCIO2L R33 VCCIO2L N32 VCCIO2L K33 VCCIO2M L36 VCCIO2M J35 VCCIO2M H37 VCCIO2N U39 VCCIO2N R38 VCCIO2N M39 VCCIO3A BB19 VCCIO3A AW20 VCCIO3A AU19 VCCIO3B BA16 VCCIO3B AW15 VCCIO3B AT16 VCCIO3C AU14 VCCIO3C AR13 VCCIO3C AN14 VCCIO3I Y13 VCCIO3I W13 VCCIO3I AA13 VCCIO3J T16 VCCIO3J P15 VCCIO3J L16 VCCIO3K N17 VCCIO3K M19 VCCIO3K K18 VCCIO3L K23 VCCIO3L J25 VCCIO3L G24 VCCIO3V AJ38 VCCIO3V AH38 VCCIO3V AL14 VCCIO3V AK14 VCCIO_SDM AR30 VCCIO_UIB_BL AY26 VCCIO_UIB_BL AY25 VCCIO_UIB_BL AY24 VCCIO_UIB_BL AY22 VCCIO_UIB_BL AY21 VCCIO_UIB_BL AW26 VCCIO_UIB_BL AW24 VCCIO_UIB_BL AW22 VCCIO_UIB_BL AW21 VCCIO_UIB_BL AV26 VCCIO_UIB_BL AV25 VCCIO_UIB_BL AV24 VCCIO_UIB_BL AV23 VCCIO_UIB_BL AV21 VCCIO_UIB_BL AU23 VCCIO_UIB_BL AU22 VCCIO_UIB_TL R25 VCCIO_UIB_TL R24 VCCIO_UIB_TL P26 VCCIO_UIB_TL P24 VCCIO_UIB_TL P23 VCCIO_UIB_TL P22 VCCIO_UIB_TL P21 VCCIO_UIB_TL N26 VCCIO_UIB_TL N25 VCCIO_UIB_TL N23 VCCIO_UIB_TL N21 VCCIO_UIB_TL M26 VCCIO_UIB_TL M25 VCCIO_UIB_TL M23 VCCIO_UIB_TL M22 VCCIO_UIB_TL M21 2A VREFB2AN0 VREFB2AN0 AV36 2B VREFB2BN0 VREFB2BN0 AV35 2C VREFB2CN0 VREFB2CN0 AV31 2F VREFB2FN0 VREFB2FN0 AV28 2K VREFB2KN0 VREFB2KN0 T30 2L VREFB2LN0 VREFB2LN0 U32 2M VREFB2MN0 VREFB2MN0 U36 2N VREFB2NN0 VREFB2NN0 W38 3A VREFB3AN0 VREFB3AN0 AP19 3B VREFB3BN0 VREFB3BN0 AR16 3C VREFB3CN0 VREFB3CN0 AP14 3I VREFB3IN0 VREFB3IN0 W14 3J VREFB3JN0 VREFB3JN0 U15 3K VREFB3KN0 VREFB3KN0 T17 3L VREFB3LN0 VREFB3LN0 R19 VCCH_GXBL1CF AV41 VCCH_GXBL1CF AP41 VCCH_GXBL1CF AK41 VCCH_GXBL1CF AF41 VCCH_GXBL1KN V41 VCCH_GXBL1KN P41 VCCH_GXBL1KN K41 VCCH_GXBL1KN AB41 VCCH_GXBR4CF AV11 VCCH_GXBR4CF AP11 VCCH_GXBR4CF AK11 VCCH_GXBR4CF AF11 VCCH_GXBR4KN V11 VCCH_GXBR4KN P11 VCCH_GXBR4KN K11 VCCH_GXBR4KN AB11 VCCR_GXBL1C AY43 VCCR_GXBL1C AY42 VCCR_GXBL1C AY41 VCCR_GXBL1D AT43 VCCR_GXBL1D AT42 VCCR_GXBL1D AT41 VCCR_GXBL1E AM43 VCCR_GXBL1E AM42 VCCR_GXBL1E AM41 VCCR_GXBL1F AH43 VCCR_GXBL1F AH42 VCCR_GXBL1F AH41 VCCR_GXBL1K AD43 VCCR_GXBL1K AD42 VCCR_GXBL1K AD41 VCCR_GXBL1L Y43 VCCR_GXBL1L Y42 VCCR_GXBL1L Y41 VCCR_GXBL1M T43 VCCR_GXBL1M T42 VCCR_GXBL1M T41 VCCR_GXBL1N M43 VCCR_GXBL1N M42 VCCR_GXBL1N M41 VCCR_GXBR4C AY9 VCCR_GXBR4C AY11 VCCR_GXBR4C AY10 VCCR_GXBR4D AT9 VCCR_GXBR4D AT11 VCCR_GXBR4D AT10 VCCR_GXBR4E AM9 VCCR_GXBR4E AM11 VCCR_GXBR4E AM10 VCCR_GXBR4F AH9 VCCR_GXBR4F AH11 VCCR_GXBR4F AH10 VCCR_GXBR4K AD9 VCCR_GXBR4K AD11 VCCR_GXBR4K AD10 VCCR_GXBR4L Y9 VCCR_GXBR4L Y11 VCCR_GXBR4L Y10 VCCR_GXBR4M T9 VCCR_GXBR4M T11 VCCR_GXBR4M T10 VCCR_GXBR4N M9 VCCR_GXBR4N M11 VCCR_GXBR4N M10 VCCT_GXBL1C AV43 VCCT_GXBL1C AV42 VCCT_GXBL1D AP43 VCCT_GXBL1D AP42 VCCT_GXBL1E AK43 VCCT_GXBL1E AK42 VCCT_GXBL1F AF43 VCCT_GXBL1F AF42 VCCT_GXBL1K AB43 VCCT_GXBL1K AB42 VCCT_GXBL1L V43 VCCT_GXBL1L V42 VCCT_GXBL1M P43 VCCT_GXBL1M P42 VCCT_GXBL1N K43 VCCT_GXBL1N K42 VCCT_GXBR4C AV9 VCCT_GXBR4C AV10 VCCT_GXBR4D AP9 VCCT_GXBR4D AP10 VCCT_GXBR4E AK9 VCCT_GXBR4E AK10 VCCT_GXBR4F AF9 VCCT_GXBR4F AF10 VCCT_GXBR4K AB9 VCCT_GXBR4K AB10 VCCT_GXBR4L V9 VCCT_GXBR4L V10 VCCT_GXBR4M P9 VCCT_GXBR4M P10 VCCT_GXBR4N K9 VCCT_GXBR4N K10 RREF_BL AT39 RREF_BR BL8 RREF_TL AF39 RREF_TR AD13 VCCADC AR29 VCCERAM Y37 VCCERAM Y36 VCCERAM Y15 VCCERAM Y14 VCCERAM W37 VCCERAM W36 VCCERAM V33 VCCERAM V30 VCCERAM V29 VCCERAM V26 VCCERAM V18 VCCERAM V15 VCCERAM U25 VCCERAM U22 VCCERAM U21 VCCERAM AR25 VCCERAM AR22 VCCERAM AR21 VCCERAM AP37 VCCERAM AP36 VCCERAM AP34 VCCERAM AP29 VCCERAM AP28 VCCERAM AP27 VCCERAM AP18 VCCERAM AN36 VCCERAM AN15 VCCERAM AM37 VCCERAM AM36 VCCERAM AM15 VCCERAM AL37 VCCERAM AL15 VCCERAM AK37 VCCERAM AK15 VCCERAM AJ37 VCCERAM AJ14 VCCERAM AH15 VCCERAM AH14 VCCERAM AG37 VCCERAM AG15 VCCERAM AF37 VCCERAM AF15 VCCERAM AF14 VCCERAM AE37 VCCERAM AE15 VCCERAM AD37 VCCERAM AC15 VCCERAM AB37 VCCERAM AB15 VCCERAM AA37 VCCERAM AA15 VCCERAM AA14 VCCFUSEWR_SDM AR31 VCCLSENSE AF27 VCCM_WORD_BL BA24 VCCM_WORD_BL BA23 VCCM_WORD_BL BA22 VCCM_WORD_TL L24 VCCM_WORD_TL L23 VCCM_WORD_TL L22 VCCP Y32 VCCP W32 VCCP W23 VCCP AN23 VCCP AM32 VCCP AM23 VCCP AL32 VCCP AL23 VCCP AK32 VCCP AJ32 VCCP AC23 VCCP AB32 VCCP AB23 VCCP AA32 VCCP AA23 VCCPLLDIG_SDM AT33 VCCPLL_SDM AP32